Software Driven Verification at SoC Level. Perspec System Verifier Overview

Size: px
Start display at page:

Download "Software Driven Verification at SoC Level. Perspec System Verifier Overview"

Transcription

1 Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015

2 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-Metal SW SoC Sub-System IP Spec Silicon

3 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-Metal SW SoC Sub-System IP Spec Silicon

4 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-Metal SW SoC Sub-System Subsystem UVM e/sv Metric-Driven Verification IP IP UVM e/sv Metric-Driven Verification Spec Silicon

5 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-Metal SW SoC SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification & Performance Analysis Sub-System Subsystem UVM e/sv Metric-Driven Verification IP IP UVM e/sv Metric-Driven Verification Spec Silicon

6 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-Metal SW SoC Gate-Level Verification SoC Hardware/SW Use Case Verification SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification & Performance Analysis Sub-System Subsystem UVM e/sv Metric-Driven Verification IP IP UVM e/sv Metric-Driven Verification Spec Silicon

7 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) SoC Hardware/Software Integration Verification and Architecture Analysis Bare-Metal SW Gate-Level Verification SoC Hardware/SW Use Case Verification SoC SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification & Performance Analysis Sub-System Subsystem UVM e/sv Metric-Driven Verification IP Spec IP UVM e/sv Metric-Driven Verification Silicon

8 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Hybrid Hardware/Software SoC Hardware/Software Integration Verification and Architecture Analysis Integration Bare-Metal SW SoC Gate-Level Verification Software- SoC Hardware/SW Use Case VerificationDriven Verification SoC IP Integration Verification Sub-System IP Spec SoC Interconnect UVM e/sv Metric-Driven Verification & Performance Analysis UVM e/sv Coverage- Subsystem UVM e/sv Metric-Driven Verification Driven Verification IP UVM e/sv Metric-Driven Verification Silicon

9 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Hybrid Hardware/Software SoC Hardware/Software Integration Verification and Architecture Analysis Integration Bare-Metal SW SoC Gate-Level Verification Software- SoC Hardware/SW Use Case VerificationDriven Verification SoC IP Integration Verification Sub-System IP Spec SoC Interconnect UVM e/sv Metric-Driven Verification & Performance Analysis TLM Design UVM e/sv and Verification Coverage- Subsystem UVM e/sv Metric-Driven Verification Driven Verification IP UVM e/sv Metric-Driven Verification Silicon

10 Metric-Driven Verification Planning and Management IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Hybrid Hardware/Software SoC Hardware/Software Integration Verification and Architecture Analysis Integration Bare-Metal SW SoC Sub-System IP Spec Gate-Level Verification Formal-Assisted Software- SoC Hardware/SW Debug Use Case VerificationDriven Formal Coverage Closure Verification Applications SoC IP Integration Connectivity Verification SoC Interconnect UVM e/sv Metric-Driven Security Path Verification & Performance Analysis TLM Design Register Verification UVM e/sv and Verification Coverage- Subsystem Power-Aware UVM e/sv Verification Metric-Driven Verification Driven Functional Verification Verification IP UVM e/sv Metric-Driven Verification Design Bring-Up Sequential Equiv. Silicon

11 IP to SoC pre-silicon verification platforms SoC Hardware/Software Integration Verification and Architecture Analysis Gate-Level Verification SoC Hardware/Software Use-Case Verification SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification and Performance Analysis Subsystem UVM e/sv Metric-Driven Verification IP UVM e/sv Metric-Driven Verification Spec Silicon

12 IP to SoC pre-silicon verification platforms SoC Hardware/Software Integration Verification and Architecture Analysis Gate-Level Verification SoC Hardware/Software Use-Case Verification SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification and Performance Analysis Simulation and Formal Subsystem UVM e/sv Metric-Driven Verification IP UVM e/sv Metric-Driven Verification Spec Silicon

13 IP to SoC pre-silicon verification platforms SoC Hardware/Software Integration Verification and Architecture Analysis Gate-Level Verification SoC Hardware/Software Use-Case Verification Hardware Acceleration and Emulation Simulation and Formal Spec SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification and Performance Analysis Subsystem UVM e/sv Metric-Driven Verification IP UVM e/sv Metric-Driven Verification Silicon

14 IP to SoC pre-silicon verification platforms Hardware Emulation or FPGA Prototype SoC Hardware/Software Integration Verification and Architecture Analysis Gate-Level Verification SoC Hardware/Software Use-Case Verification Hardware Acceleration and Emulation Simulation and Formal Spec SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification and Performance Analysis Subsystem UVM e/sv Metric-Driven Verification IP UVM e/sv Metric-Driven Verification Silicon

15 IP to SoC pre-silicon verification platforms Virtual Platform and Hybrid Hardware Emulation or FPGA Prototype SoC Hardware/Software Integration Verification and Architecture Analysis Gate-Level Verification SoC Hardware/Software Use-Case Verification Hardware Acceleration and Emulation Simulation and Formal Spec SoC IP Integration Verification SoC Interconnect UVM e/sv Metric-Driven Verification and Performance Analysis Subsystem UVM e/sv Metric-Driven Verification IP UVM e/sv Metric-Driven Verification Silicon

16 P H Y P H Y A system-centric look at a modern SoC Many IPs Standard I/O Wifi, USB, PCI Express (PCIe ), etc. System infrastructure Interconnect, interrupt control, uart, timers Differentiators custom accelerators, modem ARM V8 CPUSubsystem Application Specific Components Cortex Cortex -A53 -A53 L2 cache Cortex Cortex -A57 A57 L2 cache 3D GFX DSP A/V Boot processor ARM M0 Modem Cache coherent fabric SoC interconnect fabric DDR 3 USB3.0 PCIe Gen 2,3 Eth er net HDMI SATA GPIO Display UART INTC MIPI PMU I2C PHY PHY PHY WLAN LTE MIPI SPI JTAG Low-speed peripheral Timer subsystem High speed, wired interface peripherals Other peripherals Low speed peripherals SoC

17 P H Y P H Y A system-centric look at a modern SoC Many IPs Standard I/O Wifi, USB, PCI Express (PCIe ), etc. System infrastructure Interconnect, interrupt control, uart, timers Differentiators custom accelerators, modem Many cores Both symmetric and asymmetric Both homogeneous and heterogeneous ARM V8 CPUSubsystem Cortex Cortex Cortex Cortex -A53 -A53 -A57 A57 L2 cache L2 cache Cache coherent fabric DDR 3 USB3.0 PCIe Gen 2,3 Application Specific Components Boot 3D DSP processor GFX A/V ARM M0 SoC interconnect fabric HDMI Eth er SATA net GPIO Display Modem UART INTC MIPI PMU I2C PHY PHY PHY WLAN LTE MIPI SPI JTAG Low-speed peripheral Timer subsystem High speed, wired interface peripherals Other peripherals Low speed peripherals SoC

18 P H Y P H Y Bare metal software DSP software Init Software for boot, power, security A system-centric look at a modern SoC Many IPs Standard I/O Wifi, USB, PCI Express (PCIe ), etc. System infrastructure Interconnect, interrupt control, uart, timers Differentiators custom accelerators, modem Many cores Both symmetric and asymmetric Both homogeneous and heterogeneous Lots of software Part of core functionality Communication stack, DSP software, GPU microcode User application software infrastructure Android, Linux Operating Systems (OS) Application software stack Applications Middleware Drivers Firmware / HAL ARM V8 CPUSubsystem Cortex Cortex Cortex Cortex -A53 -A53 -A57 A57 Compute L2 Sub cachesystem L2 DDR 3 PHY Cache coherent fabric USB3.0 PCIe Gen 2,3 High Speed, Wired Interface PHY Peripherals High speed, wired interface peripherals Application Specific Components Boot Modem 3D DSP processor Application-Specific GFX A/V Components ARM M0 Eth er net PHY Software SoC interconnect fabric HDMI SATA General- MIPI WLAN Peripherals LTE SoC Customer s Purpose Other peripherals Bare-metal software GPIO Display PMU I2C Low-Speed MIPI SPI JTAG Low-speed peripheral subsystem Low speed peripherals UART INTC Peripherals Timer Communications L3 Communications L2 Communications L1 RTOS Drivers Firmware / HAL Mobile communications software stack

19 SoC-level verification and validation requirements

20 SoC-level verification and validation requirements Use-Case Reuse Architect Hardware Developer Software Developer User Verification Engineer Software Test Engineer Post-Silicon Validation Engineer How to communicate/share use cases between users

21 Vertical Reuse SoC-level verification and validation requirements Use-Case Reuse Scope (Integration) Middleware (Graphics, Audio, etc..) OS and Drivers Bare Metal Software SoC (Hardware + Software) Architect Hardware Developer Software Developer User Verification Engineer How to communicate/share use cases between users How to create and reuse use cases from IP to SoC Software Test Engineer Post-Silicon Validation Engineer Sub-System IP

22 Vertical Reuse SoC-level verification and validation requirements Use-Case Reuse Scope (Integration) Middleware (Graphics, Audio, etc..) OS and Drivers Bare Metal Software SoC (Hardware + Software) Sub-System IP Architect Hardware Developer Software Developer User Verification Engineer How to communicate/share use cases between users How to create and reuse use cases from IP to SoC Software Test Engineer Post-Silicon Validation Engineer How to use C code to execute natively on many cores and communicate between cores How to run use cases across platforms and run more constrained random variants on faster platforms Virtual Platform Simulation Emulation FPGA Prototype Silicon Board Platform Horizontal Reuse

23 Vertical Reuse The solution: Perspec System Verifier Use-Case Reuse Scope (Integration) Middleware (Graphics, Audio, etc..) Architect Hardware Developer Software Developer User Verification Engineer Software Test Engineer Post-Silicon Validation Engineer OS and Drivers Bare-Metal Software Abstract Model with Reusable Use Cases Powerful Solvers SoC (Hardware + Software) Software Sub-System IP Perspec System Verifier c test c test c test c test Multi-Core Verification OS Firmware/HAL Scheduling, inter-processor communication, runtime randomization Many cores Multi-Cluster Apps Processors 3D GFX DSP A/V Boot Proc Comm Procs Virtual Platform Simulation Emulation FPGA Prototype Silicon Board Platform Horizontal Reuse

24 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone

25 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Perspec solver checks the feasibility of the goals statically

26 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Perspec System Verifier automatically and exhaustively completes the goals in to full legal scenarios

27 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM C test C test C test Abstract Tests Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Perspec System Verifier automatically and exhaustively completes the goals in to full legal scenarios

28 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display Correct-by-construction generation for multiple targets SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM C test C test C test Abstract Tests C test C test C test Target C test Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Perspec System Verifier automatically and exhaustively completes the goals in to full legal scenarios

29 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display Re-generate the code for derivatives, spec changes, etc SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM C test C test C test Abstract Tests C test C test C test Target C test Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Perspec System Verifier automatically and exhaustively completes the goals in to full legal scenarios

30 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM C test C test C test Abstract Tests C test C test C test Target C test Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Coverage model is autocreated and pruned for reachable scenarios Perspec System Verifier automatically and exhaustively completes the goals in to full legal scenarios

31 Automated use case verification SLN Models Desired Scenario: Decode video from the DDR and show on the display SoC SRAM CPU CPU CPU CPU DDR Controller DMA MODEM C test C test C test Abstract Tests C test C test C test Target C test Interconnect USB controller GPX Audio Camera controller Display controller TB USB VIP Speaker Microphone Perspec System Verifier automatically and exhaustively completes the goals in to full legal scenarios

32 Example use case Translating end-user use case to system-level bare-metal actions End-user use case: Mobile phone requirement: view a video while uploading it (6 words)

33 Example use case Translating end-user use case to system-level bare-metal actions End-user use case: Mobile phone requirement: view a video while uploading it (6 words) Solver: Constrained random data and control flow UML Activity Diagram

34 Example use case Translating end-user use case to system-level bare-metal actions End-user use case: Mobile phone requirement: view a video while uploading it (6 words) Solver randomly selects legal video source and video stream source Solver: Constrained random data and control flow UML Activity Diagram

35 Example use case Translating end-user use case to system-level bare-metal actions End-user use case: Mobile phone requirement: view a video while uploading it (6 words) Solver randomly selects legal attributes of video stream and memory buffer Solver: Constrained random data and control flow UML Activity Diagram

36 Example use case Translating end-user use case to system-level bare-metal actions End-user use case: Mobile phone requirement: view a video while uploading it (6 words) Solver schedules show and transmit in parallel as required by use case and adds decode to display Solver: Constrained random data and control flow UML Activity Diagram

37 Example use case Translating end-user use case to system-level bare-metal actions End-user use case: Mobile phone requirement: view a video while uploading it (6 words) Solver: Constrained random data and control flow UML Activity Diagram System-level bare-metal actions: Capture a video with camera using graphics processor and save it to a memory buffer in DDR0 in AVI format with medium resolution and MPEG3 audio with 4x3 aspect ratio then transmit the video using the modem and processor 3 while processor 2 shows the video on the built in display being streamed by the graphics processor of the video already saved in DDR0 memory buffer (66 words)

38 June 2015 Flow

39 Use-case verification flow with Perspec engine._ _...._. SLN Model Resources, actions, C code templates Perspec Library C Test Abstract Debug Environment C Test Use Case Composer Debuggers (C/Design debuggers, etc.) Perspec Engine C Test C Test

40 Step #1: Capture topology and system SLN Model Resources, actions, C code templates Perspec Library actions Use Case Composer Perspec Engine

41 Step #2: Capture abstract use case >> solve for concrete use case(s) >> analyze gentime coverage SLN Model Resources, actions, C code templates Perspec Library Use Case Composer Perspec Engine

42 Step #2: Capture abstract use case >> solve for concrete use case(s) >> analyze gentime coverage SLN Model Resources, actions, C code templates Perspec Library Use Case Desired Composer Scenario: Decode video from the DDR and show on the display Perspec Engine Abstract Use Case

43 Step #2: Capture abstract use case >> solve for concrete use case(s) >> analyze gentime coverage SLN Model Resources, actions, C code templates Perspec Library Use Case Desired Composer Scenario: Decode video from the DDR and show on the display Perspec Engine Abstract Use Case Concrete Use Case

44 Step #2: Capture abstract use case >> solve for concrete use case(s) >> analyze gentime coverage SLN Model Resources, actions, C code templates Perspec Library Use Case Desired Composer Scenario: Decode video from the DDR and show on the display Perspec Engine Abstract Use Case Concrete Use Case Gentime coverage of use case

45 Step #3: Generate tests for specific platform(s) SLN Model Resources, actions, C code templates Perspec Library C Test C Test Use Case Composer C Test Perspec Engine Concrete Use Case C Test C Test

46 Step #3: Generate tests for specific platform(s) SLN Model Resources, actions, C code templates Perspec Library C Test C Test Use Case Composer C Test Perspec Engine Concrete Use Case C Test C Test Generated C Code

47 Step #3: Generate tests for specific platform(s) SLN Model Resources, actions, C code templates Perspec Library C Test C Test Use Case Composer C Test Perspec Engine Concrete Use Case C Test C Test Generated C Code

48 Step #4: Run tests and debug SLN Model Resources, actions, C code templates Perspec Library C Test C Test Use Case Composer C Test Perspec Engine Concrete Use Case C Test C Test Generated C Code

49 Step #4: Run tests and debug SLN Model Resources, actions, C code templates Perspec Library C Test C Test Use Case Composer C Test Perspec Engine Concrete Use Case C Test C Test Generated C Code Debug from UML activity diagram synchronized with source, waveform and log messages

50 Perspec Modeling Accellera Systems Initiative 15

51 Modeling elements Component: Functional unit groups actions and resources Action: Abstract operation of function Token: Include information for preconditions and outcomes Place: Defines interaction of tokens and actions (memory, channel, lock) Extend: Extending functionality of actions, components, and tokens

52 Modeling with Perspec System Verifier System language notation (SLN)

53 Constraints

54 C-code generation

55 Code generation

56 Public Success Stories Accellera Systems Initiative 21

57 ST TRD: Verify GPU modified for SoC power management

58 ST TRD: Verify GPU modified for SoC power management

59 ST TRD: Verify GPU modified for SoC power management

60 ST TRD: Verify GPU modified for SoC power management

61 ST TRD: Verify GPU modified for SoC power management

62 ST TRD: Verify GPU modified for SoC power management

63 ST TRD: Verify GPU modified for SoC power management

64 Texas Instruments: SoC verification flow

65 Texas Instruments: SoC verification flow

66 Texas Instruments: SoC verification flow

67 Texas Instruments: SoC verification flow

68 Texas Instruments: SoC verification flow

69 Texas Instruments: SoC verification flow

70 ST CPD: Complex SoC scenarios

71 ST CPD: Complex SoC scenarios

72 ST CPD: Complex SoC scenarios

73 ST CPD: Complex SoC scenarios

74 ST CPD: Complex SoC scenarios

75 ST CPD: Complex SoC scenarios

76 ST CPD: Complex SoC scenarios

77 PSWG Breaking News On September 8, Cadence, Mentor, and Breker issued a joint press release Three companies providing joint contribution to PSWG Contributing proven technology and leveraging expertise Why this combined effort? Avoids a long effort to evaluate multiple contributions Accelerates development of an Accellera standard Accelerates adoption of portable stimulus in the industry What s next? Joint contribution to PSWG by September 16 deadline BoF breakfast tomorrow share your thoughts! Accellera Systems Initiative 25

78 June 2015 Demo

79 June 2015 Summary

80 Connecting it together Coherency use case User1

81 Connecting it together Coherency use case User1 User2 Power shutdown use case

82 Connecting it together Coherency use case User1 Coherency User3 User2 Power shutdown Power shutdown use case

83 Connecting it together Coherency use case User1 Coherency Mixed Scenario User3 User2 Power shutdown Power shutdown use case

84 Connecting it together Coherency use case 1. Cache transactions User1 Coherency Mixed Scenario User3 User2 Power shutdown Power shutdown use case

85 Connecting it together Coherency use case 1. Cache transactions User1 Coherency 2. Power down User2 User3 Mixed Scenario Power shutdown Power shutdown use case

86 Connecting it together Coherency use case 1. Cache transactions User1 Coherency 2. Power down User3 Mixed Scenario 3. Cache transactions User2 Power shutdown Power shutdown use case

87 Connecting it together Coherency use case 1. Cache transactions User1 Coherency 2. Power down User2 User3 Mixed Scenario Power shutdown 3. Cache transactions 4. Power up Power shutdown use case

88 Connecting it together Coherency use case 1. Cache transactions User1 Coherency 2. Power down User2 User3 Mixed Scenario Power shutdown 3. Cache transactions 4. Power up Power shutdown use case 5. Cache transactions

89 Connecting it together Coherency use case 1. Cache transactions User1 Coherency 2. Power down C Test C Test Mixed Scenario 3. Cache transactions User2 User3 Power shutdown 4. Power up C Test C Test Power shutdown use case 5. Cache transactions

90 Perspec System Verifier Productivity 10X improvement for complex SoC test creation Abstraction UML-style use-case diagrams Automation System use-case test generation Portability Reuse across all execution platforms Measurement SoC-level hardware/software coverage metrics

91 June 2015 Thank You

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Combining TLM & RTL Techniques:

Combining TLM & RTL Techniques: Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014 Hardware/Software Systems Software Bare Metal Applications Communications

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

MIPI : Advanced Driver Assistance System

MIPI : Advanced Driver Assistance System MIPI : Advanced Driver Assistance System application and system development Richard Sproul Charles Qi - Gabriele Zarri (Cadence) esame Conference Sophia Antipolis 05 October 2015 ADAS : some history FORD

More information

Best Practices of SoC Design

Best Practices of SoC Design Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris kurt.shuler@arteris.com Copyright 2014 Arteris Arteris Snapshot Founded in 2003; headquarters

More information

Three Things You Need to Know to Use the Accellera PSS

Three Things You Need to Know to Use the Accellera PSS Three Things You Need to Know to Use the Accellera PSS Sharon Rosenberg, Senior Solutions Architect, Cadence Three primary considerations for adopting the Accellera Portable Stimulus Standard (PSS) are

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Designing a Multi-Processor based system with FPGAs

Designing a Multi-Processor based system with FPGAs Designing a Multi-Processor based system with FPGAs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer / Consultant Cereslaan

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Kontron s ARM-based COM solutions and software services

Kontron s ARM-based COM solutions and software services Kontron s ARM-based COM solutions and software services Peter Müller Product Line Manager COMs Kontron Munich, 4 th July 2012 Kontron s ARM Strategy Why ARM COMs? How? new markets for mobile applications

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

Power: What s the problem?

Power: What s the problem? Power: What s the problem? Industry trends and solutions in low power design Steve Carlson, Low Power Solutions Systems Verification Group April 2015 Agenda Industry Trends Power: what s the problem The

More information

Software Quality is Directly Proportional to Simulation Speed

Software Quality is Directly Proportional to Simulation Speed Software Quality is Directly Proportional to Simulation Speed CDNLive! 11 March 2014 Larry Lapides Page 1 Software Quality is Directly Proportional to Test Speed Intuitively obvious (so my presentation

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Jump-Start Software-Driven Hardware Verification with a Verification Framework

Jump-Start Software-Driven Hardware Verification with a Verification Framework Jump-Start Software-Driven Hardware Verification with a Verification Framework Matthew Ballance Mentor Graphics 8005 SW Boeckman Rd Wilsonville, OR 97070 Abstract- Software-driven hardware verification

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Ncore Cache Coherent Interconnect

Ncore Cache Coherent Interconnect Ncore Cache Interconnect Technology Overview, 24 May 2016 Craig Forrest Chief Technology Officer David Kruckemyer Chief Hardware Architect Copyright 2016 Arteris 24 May 2016 Contents About Arteris Caches,

More information

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Parallel Simulation Accelerates Embedded Software Development, Debug and Test Parallel Simulation Accelerates Embedded Software Development, Debug and Test Larry Lapides Imperas Software Ltd. larryl@imperas.com Page 1 Modern SoCs Have Many Concurrent Processing Elements SMP cores

More information

Mapping applications into MPSoC

Mapping applications into MPSoC Mapping applications into MPSoC concurrency & communication Jos van Eijndhoven jos@vectorfabrics.com March 12, 2011 MPSoC mapping: exploiting concurrency 2 March 12, 2012 Computation on general purpose

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator FPGA Kongress München 2017 Martin Heimlicher Enclustra GmbH Agenda 2 What is Visual System Integrator? Introduction Platform

More information

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Sean Boylan Duolog Technologies Outline Motivation - VSP Quality Programming Sequences Applying Sequences Tools for Sequences

More information

Introduction to gem5. Nizamudheen Ahmed Texas Instruments

Introduction to gem5. Nizamudheen Ahmed Texas Instruments Introduction to gem5 Nizamudheen Ahmed Texas Instruments 1 Introduction A full-system computer architecture simulator Open source tool focused on architectural modeling BSD license Encompasses system-level

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a MemCon 2014 October 15 th, 2014 Achieving End- to- E nd QoS Poonacha K ongetir a (poonacha@netspeedsystems.com) Problem Statement NetSpeed Platform Overview AGE NDA QoS Primer Achieving End- to- end QoS

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator Embedded Computing Conference 2017 Matthias Frei zhaw InES Patrick Müller Enclustra GmbH 5 September 2017 Agenda Enclustra introduction

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

Creating Portable Stimulus Models with the Upcoming Accellera Standard

Creating Portable Stimulus Models with the Upcoming Accellera Standard Creating Portable Stimulus Models with the Upcoming Accellera Standard Part 3 Coverage in Portable Stimulus The Hardware/Software Interface Library Conclusion Srivatsa Vasudevan, Synopsys COVERAGE IN PORTABLE

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017 Portable Stimulus Specification The Next Big Wave in Functional Verification Staffan Berg European Applications Engineer Digital Functional Verification September 2017 AGENDA Why Portable Stimulus? What

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

i.mx 7 - Hetereogenous Multiprocessing Architecture

i.mx 7 - Hetereogenous Multiprocessing Architecture i.mx 7 - Hetereogenous Multiprocessing Architecture Overview Toradex Innovative Business Model Independent Companies Direct Sales Publicly disclosed Sales Prices Local Warehouses In-house HW and SW Development

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

RapidIO.org Update.

RapidIO.org Update. RapidIO.org Update rickoco@rapidio.org June 2015 2015 RapidIO.org 1 Outline RapidIO Overview Benefits Interconnect Comparison Ecosystem System Challenges RapidIO Markets Data Center & HPC Communications

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

Simulation Based Analysis and Debug of Heterogeneous Platforms

Simulation Based Analysis and Debug of Heterogeneous Platforms Simulation Based Analysis and Debug of Heterogeneous Platforms Design Automation Conference, Session 60 4 June 2014 Simon Davidmann, Imperas Page 1 Agenda Programming on heterogeneous platforms Hardware-based

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Use ZCU102 TRD to Accelerate Development of ZYNQ UltraScale+ MPSoC

Use ZCU102 TRD to Accelerate Development of ZYNQ UltraScale+ MPSoC Use ZCU102 TRD to Accelerate Development of ZYNQ UltraScale+ MPSoC Topics Hardware advantages of ZYNQ UltraScale+ MPSoC Software stacks of MPSoC Target reference design introduction Details about one Design

More information

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart Formal for Everyone Challenges in Achievable Multicore Design and Verification FMCAD 25 Oct 2012 Daryl Stewart 1 ARM is an IP company ARM licenses technology to a network of more than 1000 partner companies

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

PDK (Platform Development Kit) Getting Started. Automotive Processors

PDK (Platform Development Kit) Getting Started. Automotive Processors PDK (Platform Development Kit) Getting Started Automotive Processors 1 Agenda PDK Overview PDK Software Architecture PDK Directory structure PDK Pre-requisite and Build instructions Running Examples Important

More information

RapidIO.org Update. Mar RapidIO.org 1

RapidIO.org Update. Mar RapidIO.org 1 RapidIO.org Update rickoco@rapidio.org Mar 2015 2015 RapidIO.org 1 Outline RapidIO Overview & Markets Data Center & HPC Communications Infrastructure Industrial Automation Military & Aerospace RapidIO.org

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Customized, Intelligent Memory Access Monitoring for Reliable Asymmetric MultiProcessor System Development

Customized, Intelligent Memory Access Monitoring for Reliable Asymmetric MultiProcessor System Development Customized, Intelligent Memory Access Monitoring for Reliable Asymmetric MultiProcessor System Development Simon Davidmann and Larry Lapides Imperas Software Ltd. Oxford, United Kingdom larryl@imperas.com

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors Patrick Keliher, Simics Field Application Engineer Software Development Using Full System Simulation with Freescale QorIQ Communications Processors 1 2013 Wind River. All Rights Reserved. Agenda Introduction

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

PSS: The Promises and Pitfalls of Early Adoption

PSS: The Promises and Pitfalls of Early Adoption PSS: The Promises and Pitfalls of Early Adoption Mike Bartley, CEO, Test and Verification Solutions, Bristol, UK (mike@testandverification.com) Abstract The new Accellera PSS (Portable Stimulus Standard)

More information

Virtual PLATFORMS for complex IP within system context

Virtual PLATFORMS for complex IP within system context Virtual PLATFORMS for complex IP within system context VP Modeling Engineer/Pre-Silicon Platform Acceleration Group (PPA) November, 12th, 2015 Rocco Jonack Legal Notice This presentation is for informational

More information

Verification at ARM. Overview. Alan Hunter

Verification at ARM. Overview. Alan Hunter 2. Industry Verification Flow 1 Verification at ARM Alan Hunter Overview The focus will be on CPU cores ARM then and now How we think about DV DV history A side note on complexity So we just need to boot

More information

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH Chevella Anilkumar 1, K Venkateswarlu 2 1.2 ECE Department, JNTU HYDERABAD(INDIA) ABSTRACT RISC-V (pronounced "risk-five") is a new, open, and completely

More information

How Might Recently Formed System Interconnect Consortia Affect PM? Doug Voigt, SNIA TC

How Might Recently Formed System Interconnect Consortia Affect PM? Doug Voigt, SNIA TC How Might Recently Formed System Interconnect Consortia Affect PM? Doug Voigt, SNIA TC Three Consortia Formed in Oct 2016 Gen-Z Open CAPI CCIX complex to rack scale memory fabric Cache coherent accelerator

More information

Making Full use of Emerging ARM-based Heterogeneous Multicore SoCs

Making Full use of Emerging ARM-based Heterogeneous Multicore SoCs Making Full use of Emerging ARM-based Heterogeneous Multicore SoCs Felix Baum, Arvind Raghuraman To cite this version: Felix Baum, Arvind Raghuraman. Making Full use of Emerging ARM-based Heterogeneous

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

Using Virtual Platforms To Improve Software Verification and Validation Efficiency

Using Virtual Platforms To Improve Software Verification and Validation Efficiency Using Virtual Platforms To Improve Software Verification and Validation Efficiency Odin Shen Staff FAE Arm Arm Tech Symposia Taiwan 2017 Software complexity and best practices Software Costs Increasing

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

Verification at ARM. Overview 1/18/18

Verification at ARM. Overview 1/18/18 Verification at ARM Alan Hunter Overview The focus will be on CPU cores Arm then and now How we think about DV DV history A side note on complexity So we just need to boot an OS right? What a real project

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

Dramatically Accelerate 96Board Software via an FPGA with Integrated Processors

Dramatically Accelerate 96Board Software via an FPGA with Integrated Processors Dramatically Accelerate 96Board Software via an FPGA with Integrated Processors Glenn Steiner, February 2018 Glenn Steiner, March 2018 Sr. Manager, Xilinx, Inc. Sr. Manager, Xilinx, Inc. Abstract 16:00-16:55,

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

Platform for System LSI Development

Platform for System LSI Development Platform for System LSI Development Hitachi Review Vol. 50 (2001), No. 2 45 SOCplanner : Reducing Time and Cost in Developing Systems Tsuyoshi Shimizu Yoshio Okamura Yoshimune Hagiwara Akihisa Uchida OVERVIEW:

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information