Testing VLSI Circuits

Size: px
Start display at page:

Download "Testing VLSI Circuits"

Transcription

1 Chapter 12 VLSI Designs Testing VLSI Circuits

2 Prepared by Dr. Lim Soo King 02 Dec 2010.

3 Chapter 12 Testing of VLSI Circuits Introduction Design Constraints Design Rule Checking Layout versus Schematic Latch-Up and Electrostatic Discharge Electrical Rule Checking Testing Concepts Automatic Test Equipment, Test Fixture, Test Program, and Automatic Test Handler Automatic Test Equipment Test Fixture Automatic Test Handler Testing Logic Circuits Test Specifications Test Set-up for Logic Circuit Testing Open/Short Test Gross Functional Test Quiescent Device Current Test Output Low Current Test Output High Current Test Propagation Delay Test Designs for Testability Fault Models Ad Hoc Testing In-Circuit Testing Initialization Clock generation Grounded inputs Bus drivers Scan Testing Built-in Self Test Pseudo-Random Sequence Generator PRSG Signature Analyzer Setup of Built-in Self Test Circuit Failure Analysis Method of De-capsulation Cause of Failure Failure Correlation Exercises Bibliography i -

4 Figure 12.1: The results of DRC for a silicon layout Figure 12.2: The refilled deep trench isolation between p-well and n-well isolations used to reduce the occurrence of latch-up Figure 12.3: The illustration of n-well and p-substrate bias to prevent occurrence of latchup Figure 12.4: The flowchart of probe test and grading of the die Figure 12.5: A mixed signal automatic test equipment showing its test head and a mounted load board Figure 12.6: A top-view of a load board showing the test socket to be mounted on the ATH at the center of the board Figure 12.7: The picture shows a PLCC automatic test handler Figure 12.8: The Extract of CD4011 specifications Figure 12.9: Pin configuration of CD4011 Quad 2-input CMOS NAND gate Figure 12.10: The input diode network of CD4011 NAND gate. The number in parenthesis is the pin number Figure 12.11: The set-up for open/short test for input pin 1 of CD4011 quad 2-input NAND gate Figure 12.12: The set-up for gross functional test of a NAND gate of CD Figure 12.13: The set-up for I DD test for a NAND gate of CD Figure 12.14: The set-up for output low current I OL test Figure 12.15: The set-up for output high current I OH test Figure 12.16: The set-up for propagation delay test (a) logic 0 to logic 1 t plh transition and (b) logic 1 to logic 0 t phl transition Figure 12.17: Illustrating meaning of stuck-at-0 and stuck-at Figure 12.18: Layout of 4-input NAND gate Figure 12.19: Truth table of 4-input NAND with stuck-at-fault occurrence Figure 12.20: A gray code counter circuit (a) it is not testable (b) it is testable Figure 12.21: A clock drive for in-board testing Figure 12.22: A 3-bit binary counter Figure 12.23: The timing diagram of the test for a 3-bit binary counter Figure 12.24: A DFT-able 3-bit binary counter Figure 12.25: The timing diagram of the scan test for a 3-bit binary counter Figure 12.26: A 3-bit linear feedback shift register Figure 12.27: A complete 3-bit linear feedback shift register Figure 12.28: A signature analyzer Figure 12.29: BIST (a) a 3-bit register and (b) PRSG and signature analyzer used for test circuit Figure 12.30: A de-capsulated plastic packaged integrated circuit Figure 12.31: A SEM picture showing the failure site of a MOS transistor ii -

5 Chapter 12 Testing of VLSI Circuits 12.0 Introduction In Chapter, student will learn the basic concepts of testing, design constraints, identifying failure in CMOS integrated circuits, designing the test program, and test hardware, which consists of mainly the load board the interface circuit board - connecting between the device under test DUT and the test stimulants from the automatic test equipment ATE. Student will learn how to test the logic circuit including learning the modern test technique, which is the design-fortestability DFT aimed to identify the failure site and reduce the number of tedious and complex test vectors with the ease of controllability and observability. Lastly, the student learns how to perform failure analysis of the failed integrated circuit, which can be caused by processes that it has gone through, to identify the root cause of the failure so that correction action can be implemented to prevent re-occurrence of the failure and of course improve profitability Design Constraints In the VLSI integrated circuit IC design, a design constraint refers to the limitations on the conditions under which the IC is developed or on the requirements of the IC such as its intended application. The design constraint mainly can come from the functional issue or from the limitation of technology being used, materials properties, time taken to develop, overall costing, and etc. A design constraint is normally imposed externally either by the organization or by external regulatory body. During IC design, it is important to identify each design constraint as it is the requirement since the design constraints place an overall boundary around the design process. Let s discuss some of technological limitations and device properties constraints when designing an integrated circuit chip. We will also discuss the tools used to help in the development of the IC design in respect to these constraints and the methods to overcome these constraints

6 Design Rule Checking Every IC design technology has its own design rules. It consists of interpretation about the possible geometrical implementation of the integrated circuit to be fabricated. These rules are given by foundry of IC fabrication, which often described in a document with polygon representing the layers available in the technology. It indicates the sizes, distance, and geometrical constraints allowed in mentioned technology. Designer needs to execute a program called design rule check DRC to check if the design violates the rules defined by the foundry for that particular technology. This step of verification is as important as the simulation of the functionality of the design. If the design rules are followed, the fabricated integrated circuit chip may have short physically or may affect the electrical characteristic of the IC chip. Figure 12.1 illustrates the result of DRC applied to a layout. The check finds that the poly layer fails the design rule r(301), which is the spacing between poly silicon lines too closed. Figure 12.1: The results of DRC for a silicon layout Layout versus Schematic Layout versus schematic LVS is a tool to be used especially if the design started with a schematic entry tool. The aim of LVS tool is to check if the design at the layout level correspond to or consistent with the schematic drawing. Usually, the designers start with a schematic drawing and then do simulation. If the simulation works fine and complies with specifications then only begin to design the layout. However, in the case like full-custom or semi-custom integrated circuit designs, the layout implementation of the integrated circuit can differ from the schematic because of wrong simulation results or because of design errors that simulation can not detect. LVS tool can then be used to check

7 that if the designer designs the layout according to schematic drawing. To secure better results, a simulation of the layout using the same stimuli used for the schematic is preferred Latch-Up and Electrostatic Discharge Latch-up occurred in CMOS device has caused the delay of releasing the CMOS version product to the market in the electronic industry. Latch-up is also called silicon control rectifier SCR effect and it can cause the destruction of the integrated circuit or a part of the integrated circuit. In the severe case, it causes melting of bond wire. There is no real solution to solve this phenomena but a set of design techniques do exist to avoid the occurrence of latch-up such as the design with MOS transistor sitting in its own isolated well and separately isolated the wells with refilled deep trench isolation between the wells as shown in Fig Figure 12.2: The refilled deep trench isolation between p-well and n-well isolations used to reduce the occurrence of latch-up The structure of n-mos and p-mos transistor has two parasitic diodes form between drain/source and the substrate. An either npn or pnp parasitic bipolar junction transistor formed between drain substrate and source depending on whether it is an n-mos or p-mos transistor. In the case of wrong application of stimulant to the device such the input voltage is 0.7V (forward voltage of a diode) greater than the supply voltage V DD. The voltage difference is sufficient to switch-on the emitter-base junction of the parasitic npn bipolar junction transistor. The consequence is the SCR effect result a large current flowing from power supply V DD via a small resistance of collector-emitters of pnpn thyristor structure. This high current will destroy the surrounding p-mos and n-mos transistors and can melt the bond wire due high current density. Beside the isolation technique mentioned earlier to prevent the occurrence of latch-up, other most common technique is to in-activate parasitic bipolar transistor by setting the emitter-base junction of the transistor in reverse bias

8 mode. This is done by setting the n-well to power supply V DD voltage and setting p-well and p-substrate to V SS rail. These techniques cannot totally eliminate the latch-up problem but it helps reducing its effect. The illustration of these techniques used in the layout is shown in Fig Figure 12.3: The illustration of n-well and p-substrate bias to prevent occurrence of latch-up Another electrical constraint of the design is the problem of electrostatic discharge ESD. Owing to very small dimension of the MOS transistor, its ESD susceptibility level is very low. Thus, handling CMOS integrated circuit chip improperly can cause damage to due electrostatic charge generated by human being. One good way is to ground the human being with a ground cord before handling the ESD sensitive CMOS integrated circuit. Besides handling procedure, the designer designs in the ESD protection circuit connecting the input and the inner core circuit. A simple scheme is to connect two diodes at each input one connecting supply voltage V DD and one connecting to V SS rail. These two diodes protect the inner CMOS transistor from ESD damage by discharging the excessive charge to either V SS or V DD. There are many other protection schemes for integrated circuit against ESD. Methods such as design in current limiting resistor, gate-grounded p-mos and n-mos transistors, thick oxide MOS device, low voltage triggering silicon control rectifier etc., at the input pad of the device

9 Electrical Rule Checking Electrical rule check ERC helps the designer to consider all the minimum necessary implementations for a fee electrical free fault design. This tool verifies that the designer has used a sufficient number of well biases, design in appropriate ESD protection, used V DD and V SS at the right places etc Testing Concepts Testing is a process at the back-end after fabrication of integrated circuit wafer; the integrated circuit chip has been assembled into package form. It is a process whereby the IC device is tested according to the intended application following the device specifications. The intended application can be space/military, industrial, and commercial applications. Thus, the test strategy is to test the device and grade them into four categories that are space/military grade A, industrial grade B, commercial grade C, and failed/reject grade F. After the integrated circuit has been fabricated, the wafer probe test would screen the die (integrated circuit in chip form) into space/military grade, industrial grade, commercial grade, and of course the non-functional die. The screen test is like picking the best grade students for doctor/engineer professional job, the second best for teacher/lawyer job, and the third best for technician job. The flowchart of the screening test at probe is shown in Fig The test strategy is to test the die with the space/military grade first. If the die fails any of the tests, it is proceeded to test with the industrial test limits. If the die fails any of the industrial limit test, it is then tested with commercial grade test. If the die fails any of the commercial grade tests, it is then yielded as reject. The inking strategy to identify the die grade can be varied. Normally no ink on the surface of the die indicates the die is a space/military grade die. Green is used to identify the industrial grade die. Double inking red and green, is used to identify the commercial grade die, and red ink is used to identify nonfunctional - failed to make any specification die. The inking strategy is necessary because the optical system of the die sorting process will pick the die according to the color and categorized them into space/military, industrial, and commercial grade. In the modern approach, inking can be ignored provided there is a grade mapping data of the wafer for each wafer that can be fed to sorter to pick the right grade die

10 Figure 12.4: The flowchart of probe test and grading of the die

11 In the test operation, the process step after assembly, the test strategy follows the same grading systems as it has been described for die probe test. The military grade, industrial grade, and commercial grade device are binned separately by the sorter of automatic test handler ATH. Sorted devices are then branded with the device part number and special digit to signify grading. Commercial grade device is normally guaranteed to operate for temperature range between 0 0 C to 70 0 C. In order to reduce the cost of testing, the commercial grade device is normally tested with ambient temperature, which is taken as 25 0 C. Since the 0 0 C and 75 0 C temperature tests are not done. The test strategy is to test the device with guard banding for 0 0 C and 75 0 C tests. Commercial product is normally used in the consumer product. The average selling price ASP of the product is low and there are not in critical operation such as a car and commercial plane. Therefore, one ambient with proper guard banding for 0 0 C and 75 0 C tests is sufficient. Industrial grade device is normally guaranteed to operate for temperature range between C to 85 0 C. This grade of product is used in industrial application such as the control system of the car fuel injector etc. Therefore, the test strategy is to test at least two temperatures i.e. ambient and C cold temperature. Depending on the criticality of the industrial operation, the product may have to be subjected to an accelerated burn-in to wipe out the infant mortality failure. Military/space grade device is normally guaranteed to operate for temperature range between C to C. This grade of device is used in space/military application such as the control system of weaponry system. The device normally has to undergo four tests i.e. one ambient before burn-in and three temperature tests after burn-in, which are ambient, C, and C tests Automatic Test Equipment, Test Fixture, Test Program, and Automatic Test Handler To test an integrated circuit die - after fabrication before package and packaged integrated circuit called device requires at least an automatic test equipment ATE, a test fixture, and a test programs. In the case of automatic testing, an automatic test handler ATE is needed for automatic feeding the device to the test fixture and upon testing automatic categorizes the device into graded type

12 Automatic Test Equipment An ATE is used to apply a sequence of stimuli to the die under probe DUP or device under test DUT, monitor and/or record the results of the response from the device, and make decision on pass/fail status according specifications of the die/device. An ATE may have a single or dual test heads depending on the cost and purpose. A test head contains mainly the driver/receiver cards (pin cards), timing measurement units, power supply cards, current/voltage meter, control bits etc. The ATE can be classified according to its intended purpose and product family. It can be a VLSI tester, an analogue tester, a mixed signal tester, a wireless/communication tester, microprocessor tester etc. Figure 12.5 shows a photograph of a mixed signal ATE. Figure 12.5: A mixed signal automatic test equipment showing its test head and a mounted load board Test Fixture A test fixture is an interface board that contains interface circuitry connecting the assigned driver/receiver I/O card, power supply cards, timing cards, control bits and etc of the ATE with the pin layout configuration of the chip/device under test. The test fixture may contain relays that can be controlled by the control bits of the ATE for switching-in different stimulant to the input/output pin, load to the die/device under test

13 A probe card is a test fixture. It is used to probe the integrated circuit die. A mounted prober containing the probe pins arranged in bond pad layout pattern is used to make contact with the bond pads (bond pad is the input/output, clock input etc. that are to be connect via bond wire to the external world) of the die during probe test. The other end of the prober contains a bundle of wire connecting the prober and the probe card. The wafer is mounted on the x-y table that its x-y position is controlled by the ATE. A load board is a test fixture used for packaged device testing. For VLSI digital testing, a load board may contain simple configuration wiring between the input/output pins, clock pin, power supply pin etc. with the assigned pin card of the ATE. For VLSI analogue device testing, a more complicated device test fixture is required. The bottom end of the load board contains contact pads when in use they are connected to the assigned pin cards etc of the ATE via spring loaded pins. The top part contains a socket that can be mounted on the automatic test hander ATH for automatic feeding testing or temperature testing. A picture of a load board is shown in Fig Figure 12.6: A top-view of a load board showing the test socket to be mounted on the ATH at the center of the board Automatic test equipment requires a test program. The program is normally written in a high-level language for instance the IMAGE language used by Teradyne test system. The test program specifies a set of input test vector patterns and a set of output assertions. If an output does not match the asserted value at the corresponding time, the error logic of the ATE will report an error. Before the test vector patterns and assertions are applied, the test program has to set-up the various attributes of an ATE as the following:

14 Set the supply voltage. Assign mapping between stimulus file signal names and physical ATE pin cards. Set the pin cards on the ATE to inputs or outputs their V IL /V OH and V OL /V OH levels. Set the clock on the ATE. Set the input pattern and output assertions timing. and on a device basis Apply supply voltages. Apply digital stimulus and record responses. Check responses against assertions Report and log error Automatic Test Handler An IC automatic test handler ATH is responsible for feeding the device to a test fixture mounted to an ATE. Chutes or trays containing packaged device can be used to gravity-feed the device to the handler, which uses a variety of mechanical means to pick the device and place it in the test socket on the load board. The ATE stimulus is then applied to the device and device is binned depending on the grade that it has passed or reject if it fails functional test or device specifications. A photograph of an automatic handler is shown in Fig The pilot light at the top left corner of the handler indicates the operating status of the handler. Green light means the handler is in operation. Yellow light indicates there is fault with the handler such as jamming. Red light indicates the handler stop operation. This is necessary to attract attention of the maintenance crew when assistant is needed

15 Figure 12.7: The picture shows a PLCC automatic test handler 12.4 Testing Logic Circuits In this section learner will learn the concepts how to test a logic circuit. Before a logic circuits such as a NAND gate or a combinational circuit such an adder are tested. Learner has to know what are the tests expected to be performed. Thus, it is necessary for the learner to know how to read the device specifications of the logic gate circuit. In this sub-section, learner also learns how to set-up and test some selected dc and ac parameters of a quad 2-input NAND gate CD4011 device Test Specifications Product specifications of integrated circuit device can be a NAND gate or an adder list down the limits and typical values of dc and ac parameters of the device and the maximum rating of the device. The specifications also specify the test conditions on how those parameters are tested. Thus, as a test engineer, you have to write the test program so that it issues test command to set-up the test hardware in the test head of ATE according to the specified test condition, apply them to the DUT, measures the value of the said parameter, compare it with the device specifications, and determine the pass/fail status of the parameters. This procedure is repeated until all the specified dc and ac parameters are tested. The extract of part of the specifications of CD4011 Quad 2-input CMOS NAND gate is shown in Fig Static Electrical Characteristics

16 Characteristics Quiescent Device Current I DD Max. Output Low (Sink) Current I OL min. Output High (Source) Current I OH min. V O (V) Conditions V IN (V) 0, 5 0, 10 0, 15 0, 20 0, 5 0, 10 0, 15 0, 5 0, 10 0, 15 V DD (V) Limit at Indicated Temperature ( 0 C) Min Typ. Max. Units µa ma Dynamics Electrical Characteristics at T A = 25 0 C Input t r,t f = 20ns, C Load = 50pF, R L = 200kΩ Characteristics Propagation Delay Time T phl, T plh Test Conditions Limits Units V DD (V) Typ. Max ns Figure 12.8: The Extract of CD4011 specifications Test Set-up for Logic Circuit Testing Based on the extracted specifications, they state the test conditions, test limits by temperature for quiescent device current I DD, output low current I OL, output high current (I OH ), and propagation delay parameters. We would like to see how these parameters are tested. Before these parameters are tested, we would also like to test the wire bond connectivity from the integrated circuit bond pad to the lead of the package and gross functionality of the device. The pin configuration of a dual in line DIP package quad 2-input CMOS NAND gate is shown in Fig The device has a power supply V DD pin (14),

17 ground rail (V SS ) pin (7), input pin (1), (2), (5), (6), (8), (9), (12), and (13), and output pin (3), (4), (10), and (11). The test set-ups described here assumed that the dc and ac parametric tests are done at ambient temperature, which is taken as 25 0 C. Figure 12.9: Pin configuration of CD4011 Quad 2-input CMOS NAND gate Open/Short Test All the input pins of the NAND gates are protected by a diode network as shown in Fig against the damage due to electrostatic discharge (ESD). Thus, we can use this diode network to check part of the connectivity of the wire from the bond pad of the integrated circuit to the lead of the package and at the same time the input gate integrity of the NAND gates can be checked. The test is termed as open/short test mentioned in previous section. Figure 12.10: The input diode network of CD4011 NAND gate. The number in parenthesis is the pin number The open/short test is consisting of two tests lower diode test and upper diode test. Set-up shown in Fig (a) is testing the functionality of the upper

18 diodes and the wire connecting the bond pads and leads of the package by forcing 1.0mA of current from ATE to the every input pin and then measure the voltage across the input pin with respect to V DD pin. The value measured should be the forward voltage of a diode, which has a value >0.7V. The set-up to test the functionality of lower diodes and the wire connectivity is shown in Fig. 5.11(b). It is done by sinking 1.0mA of current from ATE to the input pin and measure the voltage between input pin and V SS pin. The measured value should be the forward voltage of a diode, which should have a value <- 0.7V. Note that for both tests, the power supply V DD pin (14) and V SS ground rail pin (7), are set to 0V. (a) (b) Figure 12.11: The set-up for open/short test for input pin 1 of CD4011 quad 2-input NAND gate If there is a shorted diode, the measured voltage will be zero in both tests. Likewise if there is open circuit such as open diode or no connection between bond pad and lead, the voltage measurement should be the maximum value of

19 the voltage range set by the test program, which can be 2.047V for the case of 2.0V range setting. Thus, as a test engineer, you may to set the test limit as < 0.5V and > -0.5V for short circuit and < -1.5V and > 1.5 for open circuit, and the in between values as good. If there is an open wire connecting V DD or V SS bond pads and leads, all the tests will show as open failure. If the device fails any one of the open/short test, the test program will issue command to halt the test and issue to command to the automatic test handler ATH to yield the device as reject. If the device passes all open/short test except the for output pins. The program will execute the command to proceed to next test Gross Functional Test Gross functional test is used to test the functionality of the logic gate before tedious dc and ac tests are performed because there is no point to test the device if there is cross failure such as short-at-v DD, broken metal interconnect in the inner core of the device. For the case of CD4011 NAND gate, the gross functional test tests the logical NAND output of all the input logic combinations. The test set-up for a NAND gate is shown in Fig The remaining 3 NAND can be simultaneously tested if there are sufficient pin cards in the ATE. Figure 12.12: The set-up for gross functional test of a NAND gate of CD4011 Before the beginning of the gross functional test, the sequence test vector patterns and expected output test vector patterns are programmed into the memory of the ATE. The V SS is set to 0V and V DD is set to 5.0V by the ATE. The pattern generator of the ATE issues test vector 0011 and 0101 sequentially to inputs pin (1) and (2) of the NAND gate via the drivers of the pin cards. The actual output patterns are then compared with the expected output patterns after a programmed propagation delay and input to error logic of ATE to decide whether the gate passes or fails gross functional test

20 Quiescent Device Current Test According to the device specifications, the quiescent device current (I DD ) test is to be done for four power supply V DD applications, which are 5V, 10V, 15V, and 20V. There are altogether eight measurements to be made. Each supply voltage has two measurements one for all input tie to logic 0 and one all input tie to logic 1. The set-up for the I DD test is shown in Fig The setting of the logic 1 follows the setting of the power supply (V DD ). If the power supply (V DD ) is set to 15V, then logic 1 shall be 15V. Logic 0 shall mean 0V. The I DD current is measured by the ampere meter of the power supply. The measured voltage is then compared with the limits of I DD, which are V DD, < V DD, < V DD, and < V DD respectively. Figure 12.13: The set-up for I DD test for a NAND gate of CD Output Low Current Test From the specifications of device, the output low current I OL is output sink current of the to the n-mos network of the device when output is at logic 0. For a 2-input NAND gate, there is only one input logic combination that the output is logic 0, which is when both inputs of the gate are at logic 1. According to the specifications of the device, there are three supply voltage applications to be tested, which are 5.0V, 10, and 15V. For each supply voltage test, the output

21 force voltage is different, which are 0.4V, 0.5V, and 1.5V respectively for supply voltage 5V, 10V, and 15V test. Figure shows the test set-up of I OL test for one NAND gate. Normally all NAND gates can be tested simultaneously. Figure 12.14: The set-up for output low current I OL test The measured current by the ATE is then compared with the set limit, which are V DD supply voltage, V DD supply voltage, and V DD supply voltage Output High Current Test From the specifications of device, the output high current (I OH ) is output source current of the p-mos network of the device when output is at logic 1. For a 2- input NAND gate, there are three input logic combination that the output is logic 1, which are when the inputs are at 00, 01, and 10 logic According to the specifications of the device, there are three supply voltage application are to be tested, which are 5.0V, 10V, and 15V. For each supply voltage test, the output force voltage is different, which are 4.6V, 9.5V, 13.5V, and respectively for power supply voltage 5V, 10V, and 15V tests. Since there are three input logic combinations that will provide output logic 1 and three supply voltage applications are needed, a total of 9 tests are to be performed. Figure shows the test set-up of I OH test for one NAND gate. Normally all NAND gates can be test simultaneously

22 Figure 12.15: The set-up for output high current I OH test The measured current by the ATE is then compared with the set limit, which are V DD supply voltage, V DD supply voltage, <- V DD supply voltage, and V DD supply voltage Propagation Delay Test Propagation delay test is a dynamic test or at time it is called ac test. The test requires the timing measurement unit of the ATE. The timing measurement unit of ATE needs to have the rise/fall time better than what is specified in the device specification, which is better than 20ns. There are two tests to be done, which are logic 0 to logic 1t plh and logic 1 to logic 0 t phl transitions. According to the truth table of the NAND gate, there are three input logic combinations for transition from logic 0 to logic 1, which are 11 00, 11 01, and transition; three input logic combinations for logic 1 to logic 0 transition, which are 00 11, 01 11, and transitions. Since there are three power supply applications i.e. 5V, 10V, and 15V, thus, the total number of test are 18. The set-up for the test is shown in Fig The timing generator will generator the required logic state for the input and the timing measurement unit will measure propagation delay and compared it with the set limit, which are V DD, <100ns<10V V DD, and V DD

23 (a) (b) Figure 12.16: The set-up for propagation delay test (a) logic 0 to logic 1 t plh transition and (b) logic 1 to logic 0 t phl transition There are many other dc and ac parameters to be tested for CD4011. Learners are encouraged to learn them. You may notice that so far we have not discussed test at temperature. You may choose temperature test by heating up the chamber of ATH or cold down the chamber of ATH. For high temperature testing, it is easy by heating up the chamber of the handler to the desired temperature. To cold the temperature to C or C required to piped-in the liquid nitrogen, which is costly and at time can pose the safety hazard due to cold burn. If it is permitted, you may perform a guard banding study of each and every parameter so that by

24 tightening the test limits at room temperature can simulate the failure at either cold or hot temperature Designs for Testability A new piece of equipment, when it is received by the customer, the customer expects it to work without any failure. However, a modern automated equipment such as an automatic test equipment that we use to test the integrated circuit, it is impossible for the an equipment manufacturer to guarantee that every single equipment produced will be working perfectly as it is intended when the test company receive it. Some equipment may not be working because they contain individual components failure or printed circuit board that contain sub-system such as a nano-ammeter are faulty or it may be due to handling leading to failure. Most equipment manufacturers prefer to resolve these problems in the factory, rather than the failure found at customer, which is a bad reputation to the manufacturer. In the device process cycle, a fault can be occurred at integrated circuit level. If the test strategy is considered at the beginning of the design phase, the fault can be detected rapidly and eliminated at very low cost. When the device is soldered on PCB, the cost for ratification is escalated to ten folds. This is the famous saying Rule of Ten. This cost factors continues to apply until the system has been assembled, packed, and sent to end-user. The most basic test is testing the functionality of the components, functionality of sub-system printed circuit board. The diagnostic test can be performed to test the 100.0% functionality of the equipment as while system and also be used to identify and locate the particular sub-system failure. If the equipment passes the test, it can be then shipped to customer; otherwise, detailed troubleshooting should be done to identify the failure. It is then follow by either repair or replace the component/board and performance diagnostic test again to ensure this time the equipment is functioning. The design for testability DFT is a method designed to test the components, sub-system, and the equipment thorough and less costly with controllability and observability, without the needs to apply full test vectors to the logic gate/circuit, sub-system, and system. This method can identify, detect, and locate failure easily right at circuit design level to a sub-system/system levels. This method has many benefits. The functional test is more reliable; the diagnostic tests run faster and produce more accurate result, and both functional test and diagnostic test require less test-engineering time to develop. The disadvantage is the

25 engineering effort taken to add in DFT test circuitry in the integrated circuit die and the circuitry would take up a portion of real estate of the die. However, this can be offset by long-term benefit in time taken to identify failure and less development cost for the equipment. In this Section, learner will learn methods of design for test (DFT), which includes the fault models, in-circuit testing, ad hoc testing, scan test, and builtin self test. There are many advanced DFTs for testing to identify and locate failure for controllability and observability. Learner is encouraged learn them Fault Models As you already know that logic circuits are tested by applying test vectors which consist of input combination and expected output combinations. A logic circuit passes the test if its outputs match what s expected. In the worst case, an n-input combinational circuit requires 2 n test vectors. Take for an example, 2-input NOR gate requires 2 2 test vectors applied to the input in order to fully knowing the output logic. However, if we know the physical layout of the circuit and make some assumptions about the type of failures that may occur, the number of vectors required to test the circuit fully can be greatly reduced. There are many models that can be used to describe the failure of the logic circuit. Among the models are; the stuck-at-fault model, stuck-open fault model, bridging fault model, transition delay model, path delay model etc. Stuck-atopen fault model is used to model the behavior of a circuit with transistor are permanently switch-off or switch-on. A bridging fault model is used to model the shorting of two or more signal lines in the circuit. The transition delay model is based on stuck-at fault model. The stuck-at-0 or stuck-at-1 values are replaced by logic 0-to logic 1 and logic 1-to-logic 0 transitions. The path delay model is targeted on total path transition delay. We shall emphasize on the stuck-at-fault model. The most common model used for testing of the logic circuit is the stuckat-fault model which has assumption that all failures cause nodes to be stuckat either logic 0 or logic 1, i.e. either shorted permanently to power supply (V DD ) or V SS (GND). This shall mean either a single input or an output signal stuck at logic 0 or logic 1. The assumption made is true and the method work well in actual practice condition. Figure illustrates the meaning of a stuckat-0 and stuck-at-1 with a 2-input NOR gate. The input B shorted to power supply V DD is termed as stuck-at-1, while the input A shorted to V SS is termed

26 as stuck-at-0. The suck-at-0 and stuck-at-1 can also be happened at the output of the device. Using the stuck-at-fault model, let s analyse to see how many test vector patterns are necessary to effectively test the functionality of a 4-input NAND gate. The layout of the 4-input NAND gat is shown in Fig Based on the layout, stuck-at-0 (SA0) and stuck-at-1 (SA1) faults can be occurred at either input A, B, C, or D, or all inputs, and output. Figure 12.17: Illustrating meaning of stuck-at-0 and stuck-at-1 Theoretically, it requires 16 (2 4 ) test vector patterns in order to fully test its functionality. However, if we apply the stuck-at-fault method, the 4-input NAND can be fully tested with just five test vector patterns, which are 1111, 0111, 1011, 1101, and Let s find out how we derive to this conclusion. The truth table of a 4-input NAND gate with stuck-at-fault occurrence is shown in Fig

27 Figure 12.18: Layout of 4-input NAND gate Input Output A B C D No SA0 SA1 SA0 SA1 SA0 SA1 SA0 SA1 Fault A A B B C C D D Figure 12.19: Truth table of 4-input NAND with stuck-at-fault occurrence The results show that whenever, there is a stuck-at-0 or stuck-at- 1 at any input A, B, C, and D, there is a change of output for test vector 0111, 1011, 1101, 1110, and Thus, test vector pattern 0111, 1011, 1101, 1110, and 1111 are sufficient to fully test the functionality of a 2-input NAND gate. The rest of test

28 vectors 0000, 0001, 0010, 0011, 0100, 0101, 0110, 1000, 1001, 1010, and 1100 are redundant. Under the stuck-at-fault model, it s easy to apply test vectors to the input of an individual logic gate. However, in practice, there is problem to apply the test vectors to the logic gates that are buried in a circuit and observing the results. Supposing a circuit has a few combinational and sequential logic gates in between its primary inputs and the inputs of a 4-input NAND gate that a designer wants to test, it is not obvious what primary-input test vector, or sequence of primary-input test vectors must be applied to generate the test vector pattern 1111 at the NAND-gate inputs. Furthermore, it s not obvious what else is required to propagate the NAND gate s output to a primary output of the circuit. Sophisticated test-generation program deals with this complexity and attempt to create a complete test set for a circuit, which is a sequence of test vector patterns that fully tests each logic gate in the circuit. However, the computation required can be huge and it s quite often just not possible to generate a complete test vector patterns. With this difficulty, DFT methods attempt to simplify test-pattern generation by enhancing the controllability and observability of logic gates in a circuit. A circuit with good controllability and easy to produce any desired output logic on the internal signals of the circuit by applying an appropriate test-vector input combination to the primary inputs is desired. Similarly, a circuit with good observability means that any internal signal can be easily propagated to a primary output for comparison with an expected value by the application of an appropriate primary-input combination is needed. The most common method of improving controllability and observability is to add test points to tap the additional primary inputs and outputs during testing Ad Hoc Testing Ad hoc test techniques are temporary test procedure added on the need basis aimed at reducing the number of combinational pattern of testing. They are only useful for small designs where scans, automatic test pattern generator ATPG, built-in self test BIST are not available. However, a completed scan-based testing methodology is recommended for all logic circuits. The common techniques for ad hoc testing are partitioning large sequential test, adding test point, adding multiplexer, and providing for easy state reset

29 Testing of VLSI Circuits A technique classified in this category is the use of the bus in a busoriented system for test purposes. Each register has been made loadable from the bus and capable of being driven onto the bus. Here, the internal logic values that exist on a data bus are enabled onto the bus for testing purpose. Frequently, multiplexer can be used to provide alternative signal path during testing. In CMOS testing, transmission gate and multiplexers provide alternative paths to routed external test signals. We can see it in the built-in circuit of the scan test and built-in self test. Any design should always have a method of resetting the internal state of the circuit within single clock cycle or at most a few clock cycles. Apart from making the testing easier, this also makes simulation faster as a few cycles are required to initialize the circuit is considered short time. In general, ad hoc testing techniques represent a number of approaches developed over the years by designers to avoid the overhead of a systematic approach to testing. While these general approaches are still valid as of today In-Circuit Testing The logic circuits in terms of IC package are mounted on a single PCB. The controllability and observability of the circuits ultimately can be obtained by using every pin of every IC as a test point and route them to the edge connector of the PCB so that they can be tested. This is then followed by building a special test fixture that matches the edge connector layout of the PCB. The PCB is placed on the spring loaded pins of test fixture (load board) and is connected to automatic test equipment that can monitor each pin as required by a test program. In-circuit testing also can achieve the ultimate goal of controllability. This method not only can monitor the signals at the spring loaded pins for observability, but also connects loaded pin to a very low impedance driving circuit in the ATE. In this way, the tester can override whatever circuit on the PCB normally drives each signal, and directly generate any desired test vector pattern on the internal signals of the circuit in PCB. Overdriving an opposing gate output causes excessive current flow in both the tester and the opposing gate, but the tester can sink the current within a few milli-seconds, which is not harmful to the circuit. To test an 4-input NAND gate, an in-circuit tester needs only to provide the five test vectors mentioned previously and can ignore whatever values that the

30 rest of the circuit is trying to drive onto the four input pins. The output of 4- input NAND-gate can be observed directly on the output pin. With in-circuit testing, each logic gate can be tested in isolation from the others. A few key procedures and requirement are needed for performing in-circuit testing. They are listed in the following sub-sections Initialization The initialization is a mandatory to make all logic gates in the circuit to a reset state. Since the preset and clear input pins of registers and flip-flops are available in the circuit, one can use ATE to initialize them. However, Fig (a) shows an example of a gray-code counter circuit cannot be initialized because the flip-flops go into an unpredictable state when preset pin (PR) and clear pin (CLR) are asserted high simultaneously. The right way to handle the preset and clear inputs is shown in Fig (b), which is having separated bias voltage. The ATE can send logic 0 to clear the counter and then followed by sending logic 1 to set the counter in ready mode. (a) (b) Figure 12.20: A gray code counter circuit (a) it is not testable (b) it is testable

31 Clock generation The ATE must be able to provide its own clock signal without depending on the on-board clock signals. For several reasons, ATE usually must override an onboard clock, which are the speed at which it can apply the test vectors is limited; it must allow extra time for overdriven signals to settle; and sometimes it must stop the clock. However, overdriving the clock may oscillate the circuit and make several transitions between logic 0 and logic 1 before finally settling to the desire level. Figure shows a recommended clock driver circuit. To inject its own clock, the tester pulls Clk En to logic 0 and inserts its clock on TestClk_L pin. Since the ATE is not overdriving any gate outputs, the resulting clock signal is clean. In general, any normally glitch-free signal used as a clock input or other asynchronous input must not be overdriven by the tester, and would have to be treated in a way similar what is shown in Fig This is another reason why synchronous design with a single clock is desirable Grounded inputs Figure 12.21: A clock drive for in-board testing In general, ground should not be permanently tied to zero volt source. For the in-circuit testing, ATE must be able change the voltage level of this signal. Therefore, signals that require a zero volt input during normal operations should be tied to ground through a resistor, which allows the ATE to set it to logic 1 by connecting the supply voltage V DD Bus drivers In general, it should be possible to disable the drivers for wide buses so that the ATE can drive the bus without having to overdrive all the signals on the bus. That is, it should be possible to output-disable all of the tri-state drivers on a bus, so that the tester drives an open bus. This reduces electrical stress both on the ATE and output of the devices in the PCB. Otherwise overheat due excessive

32 current driven the output may damage by having all outputs overdriven simultaneously Scan Testing In-circuit testing works fine, up to a point. It doesn t do much good for custom VLSI chips and application specific IC ASIC, because the internal signals simply are not accessible. Even in board-level circuits, high-density packaging technologies such as surface mounting greatly increase the difficulty of providing test points for every signal on a PCB. As a result, an increasing number of designs are using scan testing to provide controllability and observability. A scan testing provides controllability and observability of the internal signals of a circuit using a small number of test points. A scan-path method considers any digital circuit to be a collection of flip-flops or other storage elements interconnected by combinational logic gate. It is a concerned with controlling and observing the state of the storage elements. It does this by providing two operating modes, which are a normal mode, and a scan mode in which all of the storage elements are reorganized into a giant shift register. In scan mode, the state of the circuit s n storage elements can be read out by n shifts thus, providing observability, and a new state can be loaded at the same time that shows controllability. Scan-path design is used most often in custom VLSI and ASIC designs because of the impossibility of providing a large number of conventional test points. Let s consider a 3-bit binary counter as shown in Fig The circuit consists of two parts, which are combinational logic circuit blocks and three D flip-flops. This circuit has limited observability and controllability. To complete testing this circuit fully, it requires 2 2 x2 3 = 32 test vector patterns, which comprising of enable, reset and 8 clock pulses. The timing of the test is shown in Fig

33 Figure 12.22: A 3-bit binary counter Figure 12.23: The timing diagram of the test for a 3-bit binary counter The circuit has three combinational circuit blocks. Every block has its input and output. The MSB combinational block at the right of the circuit received 3 inputs I 0, I 1, I 2 and has one output CO 2. If we have the controllability of node I o and observability at node CO 2 then we can have easily test the functionality of this circuit block by applying the minimum number of test vectors (based on to stuck-at-fault model) to it. Thus, the key issue is to make I 0, I 1, I 2 control-able

34 via the chip boundary and CO 2 observable via the chip boundary. We will do similar kind of arrangements for all inputs and outputs to all the combinational blocks identified in the design. For better observability and controllability, we replace all the flip-flops of this counter, with special flip-flops that has a multiplexer connected to the D input of each flip flop and two additional input pins called scan enable (SE) and Scan input (SI) for multiplexer of the flip-flop. As shown in Fig , the 3-bit binary counter is now DFT-able. The multiplexer can be selected by scan enable pin (SE) to allow scan in data to be clocked into the input D of the flip-flop to produce Q output and scan out results with the relevant edge of clock. Figure 12.24: A DFT-able 3-bit binary counter If there is any stuck-at-fault of the combinational block, the scan out result will reveal the problem. The timing diagram of the scan test for the 3-bit binary counter is shown in Fig

35 Figure 12.25: The timing diagram of the scan test for a 3-bit binary counter Built-in Self Test Built-in self test (BIST) is a concept that the integrated circuit can be provided with capability to test itself. This technique adds some chip area but in return, it reduces the test time of functional test. There are several ways to accomplish this objective. One method of testing an integrated circuit is to use signature analysis or cyclic redundancy checking. This involves using a pseudo-random sequence generator (PRSG) to produce the input signal for a section of combinational circuit and a signature analyzer to observe the output signal Pseudo-Random Sequence Generator PRSG A PRSG of length n is constructed based on the characteristic polynomial equation of n-bit linear feedback register i.e. P(x) = a n x n + a n-1 x n-1 + +a 2 x 2 + a 1 x 1 + a 0, whereby a i (i = 1 to n) can be 0 or 1, a 0 is equal to 1, and x denotes the designated flip-flop number. The linear feedback shift register LFSR shown in Fig is designed based on characteristic polynomial equation P(x) = x 3 +x +1. The output of an exclusive OR gate is feedback to the input of LFSR, while the input of the exclusive OR gate comes from the outputs of flip-flop FF0 and flip-flop FF2. An n-bit LFSR will cycle through 2 n -1 state before repeating the sequence. For this design, it has seven sequence states

36 Figure 12.26: A 3-bit linear feedback shift register If the initial data of the flip-flip is 100, the pseudo-random sequence data generated six other random patterns after the six clock pulses, which are 011, 111, 110, 100, and 010. This random sequence will repeat for every seven clock pulses. A complete feedback shift register (CFSR) is shown in Fig This linear shift register includes zero state that may be required in some test situation. An n-bit LFSR can be converted to an n-bit CFSR by adding in an n-1 input NOR gate connected to all output except the MSB output. Figure 12.27: A complete 3-bit linear feedback shift register Signature Analyzer

37 A signature analyzer is used to compress a sequence of data into a unique code. It uses the linear feedback shift register LFSR provided with extra input in the exclusive OR gate to accept sequence of bits to be compressed. After the entire sequence has been clocked through, the state of shift register is called a signature. At the end of a test sequence, the LFSR contains the signature to determine whether the circuit is good or bad. If the signature contains enough bits, it is improbable that a defective circuit will produce the correct signature. The circuit of a signature analyzer is shown in Fig with sequence of data bit as scan out compressed signature data. Figure 12.28: A signature analyzer After resetting, the initial content of the LFSR is 000. The data content of the flip-flop (FF1, FF1, and FF0) for the seven clock pulses are 000, 011, 110, 100, 000, and 000. Thus, the compressed signature of the analyzer is Setup of Built-in Self Test Circuit The combination of signature analysis and the scan test technique shown in previous sub-section creates a test structure known as built-in self test BIST or built-in logic block observation BILBO. Fig (a) shows the 3-bit BIST register and how it is inserted in use for logic circuit test. The 3-bit register is a scanable, resettable register that can serve as a pattern generator and signature analyzer. The input C[0] and C[1] specify the mode of operation. In the reset mode (10), all flip-flops are synchronously initialized to logic 0. In normal mode (11), the flip-flops behave normally with their Q input feeds to D input. In the scan mode (10), the flip-flops are configured as a 3-bit shift register between scan-input (SI) and scan-output (S0). In the test mode (01), the register behaves

38 as a pseudo-random sequence generator or signature analyzer. If all the D inputs are held at logic 0, the Q outputs loop through a pseudo-random bit sequence, which can serve as input to the combinational logic. If D inputs are taken from the output of combinational logic, they are swizzled with the existing state to produce signature code. In summary BIST is performed by first resetting the content in the output register. Then both registers are placed in the test mode to produce pseudo-random inputs and calculate the expected signature code. Finally, the signature code is shifted out the scan chain. Figure 5.29(b) shows the block diagram of BIST. (a) (b) Figure 12.29: BIST (a) a 3-bit register and (b) PRSG and signature analyzer used for test circuit There are many other BIST techniques such as memory BIST, parallel BIST, which are not covered in this text. Learner is encouraged to learn them

39 12.6 Failure Analysis Failure analysis is important in semiconductor industry because it helps to identify the cause of the failure of the circuit. Knowing the cause of the failure, preventive measure or corrective action can be taken to prevent re-occurrence of the problem. Solving the root cause of the failure shall mean the loss due to failure can be minimized and at the same time, the reliability of the circuit can be ensured in terms of quality assurance. In the section learner will the method to de-capsulation of the package VLSI circuit in order we can use optical aid to visually inspect the failure site so that the cause of failure can be identified. Learner will also learn the common causes of the failure, which can be fabrication related, assembly related, and handling issue such as failure due to static electricity discharge, electrical overstress due supply voltage over the limit of the circuit etc. The last part of the section, learner will learn how to correlate the test failure data with the physical failure site Method of De-capsulation The failure VLSI integrated circuits are in package form which can general be classified as ceramic or plastic package (epoxy package). In order to have visual inspect the integrated circuit, the device needs to be de-capsulated. Ceramic package can be easily de-capped by blade to open the lid of the package. However, to encapsulate the plastic package is not that easy. A small hole or several holes is to be drilled on the package with a mounted drill and a holder to hold the device. For a large package type like quad flat pack, grinding is necessary. Since the thickness of the package is thin, care must be taken to ensure the surface of die (integrated circuit silicon) is not being damaged by the drill bit because this will destroy the evidence of the cause of failure. After this procedure, fuming nitric acid is dripped on the package to dissolve the plastic material so the die surface can be exposed for optical inspection. Safety precaution must be taken since the fuming nitric acid is harmful and corrosive. It is advised to wear a safety goggle and face mask and the procedure to be performed in a fume hood. After dripping the fuming nitric acid on the plastic package, the acid would dissolve the package material. The dissolved plastic material is then cleaned with acetone solution. The procedure of dissolving plastic material and cleaning with acetone is done repeatedly until the surface of the die exposed for the ease of optical inspection. Figure shows the

40 picture of a de-capsulated quad flat pack QFP plastic packaged integrated circuit. It shows that exposed die. Figure 12.30: A de-capsulated plastic packaged integrated circuit Visual inspection can be done to check the integrity of the quality of bond wire and check the surface to see if there visible defect such as scratch, missing wire, and chip-off that course the failure of functional test. 200X to 400X optical inspection can be used to identify the failure due to static electricity defect (due to handling), micro assembly defect, fabrication defect, and test operation. With help of circuit layout and the test failure data, learner can identify the location of failure on the die. If visual inspection and optical inspection can not locate and identify the failure site, the use scanning electron microscope SEM will help the reveal the failure site. The picture shown in Fig is a SEM picture showing failure site of the transistor due to electrostatic discharge ESD. Figure 12.31: A SEM picture showing the failure site of a MOS transistor Before subjected to scanning electron microscope (SEM), the integrated circuit needs to de-layer, which is the process of removing various layers of the

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

This presentation will..

This presentation will.. Component Identification: Digital Introduction to Logic Gates and Integrated Circuits Digital Electronics 2014 This presentation will.. Introduce transistors, logic gates, integrated circuits (ICs), and

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

±15kV ESD-Protected, Single/Dual/Octal, CMOS Switch Debouncers

±15kV ESD-Protected, Single/Dual/Octal, CMOS Switch Debouncers 19-477; Rev 1; 1/99 ±15k ESD-Protected, Single/Dual/Octal, General Description The are single, dual, and octal switch debouncers that provide clean interfacing of mechanical switches to digital systems.

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence ELECTRONICS MANUFACTURE-The In-Circuit Test sequence In-Circuit Test comprises several sections, each consisting of a series of tests on individual devices. By testing devices individually, failures can

More information

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MODEL 102P 102R DESCRIPTION Floor Stand (Plane) Floor Stand (Modified) HTRB Burn-In System (diode) Component Burn-In

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

DFT: Design for Testability

DFT: Design for Testability esign for estability F 1 F: esign for estability When you buy a new piece of equipment, you expect it to work properly right out of the box. However, even with m odern automated equipment, it s impossible

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Discharge by touching: BNC coax shield, outlet metal cover plate, wire connected to GND

Discharge by touching: BNC coax shield, outlet metal cover plate, wire connected to GND Step-down transformer Very High Voltage Very Low Current Lower Voltage, 110V Power Station Grounding contact (3rd wire) Faulty wiring makes box hot!! Current path splits: 1) to ground (mostly) 2) through

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.5 Gbps 2.5 V/3.3 V Supply

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Description INPUT INTERFACING

Description INPUT INTERFACING SEMICONDUCTOR ICM711, ICM71 December 1993 Features ICM711 (LCD) Description -Digit ICM711 (LCD) and ICM71 (LED) Display Drivers Four Digit Non-Multiplexed 7 Segment LCD Display Outputs With Backplane Driver

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

FXL6408 Fully Configurable 8-Bit I 2 C-Controlled GPIO Expander

FXL6408 Fully Configurable 8-Bit I 2 C-Controlled GPIO Expander October 2012 FXL6408 Fully Configurable 8-Bit I 2 C-Controlled GPIO Expander Features 4X Expansion of Connected Processor I/O Ports Fully Integrated I 2 C Slave 8 Independently Configurable I/O Ports Low-Power

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314 a FEATURES 10-Bit Temperature-to-Digital Converter 35 C to +85 C Operating Temperature Range 2 C Accuracy SPI and DSP Compatible Serial Interface Shutdown Mode Space-Saving MSOP Package APPLICATIONS Hard

More information

National Semiconductor Application Note 368 Larry Wakeman March 1984

National Semiconductor Application Note 368 Larry Wakeman March 1984 An Introduction to and Comparison of 54HCT 74HCT TTL Compatible CMOS Logic The 54HC 74HC series of high speed CMOS logic is unique in that it has a sub-family of components designated 54HCT 74HCT Generally

More information

XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs. Features. Description

XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs. Features. Description 0 XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs December 10, 1997 (Version 1.1) 0 5* Product Specification Features On-chip address counter, incremented by each rising edge

More information

Intro to Logic Gates & Datasheets. Digital Electronics

Intro to Logic Gates & Datasheets. Digital Electronics Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Type Version Ordering Code Package PEB 2025-N V 1.5 Q67100-H6300 P-LCC-28-R (SMD) PEB 2025-P V 1.5 Q67100-H6241 P-DIP-22

Type Version Ordering Code Package PEB 2025-N V 1.5 Q67100-H6300 P-LCC-28-R (SMD) PEB 2025-P V 1.5 Q67100-H6241 P-DIP-22 ISDN Exchange Power Controller (IEPC) PEB 2025 CMOS IC Features Supplies power to up to four transmission lines CCITT recommendations compatible for power feed at the S interface Each line is individually

More information

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Operating Requirements

Operating Requirements Operating Requirements for Altera Devices January 1998, ver. 8 Data Sheet Introduction Altera devices combine unique programmable logic architectures with advanced CMOS processes to provide exceptional

More information

Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman

Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman Exercise 4-1: Familiarization with Lab Box Contents & Reference Books 4-1-1 CMOS Cookbook (In the

More information

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465 Data Sheet Single Channel Protector in a SOT-23 Package and a MSOP Package FEATURES Fault and overvoltage protection up to ±40 V Signal paths open circuit with power off Signal path resistance of RON with

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

Features. Description. Applications. Block Diagram PT7M3808. Fixed Voltage Diagram. Adjustable Voltage Diagram(PT7M3808G01)

Features. Description. Applications. Block Diagram PT7M3808. Fixed Voltage Diagram. Adjustable Voltage Diagram(PT7M3808G01) Features Description Power-On Reset Generator with Adjustable Delay Time: 1.25ms to 10s. Very Low Quiescent Current: 2.8µA Typical High Threshold Accuracy: 0.5% Typ. Fixed Threshold Voltages for Standard

More information

WI-076 Issue 1 Page 1 of 7

WI-076 Issue 1 Page 1 of 7 Design for Test (DFT) Guidelines WI-076 Issue 1 Page 1 of 7 Contents Scope... 3 Introduction... 3 Board Layout Constraints... 4 Circuit Design Constraints... 5 ICT Generation Requirements... 7 WI-076 Issue

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Logic Chip Tester User Manual SW Version /8/2012. Chapter 1 Introduction/Background

Logic Chip Tester User Manual SW Version /8/2012. Chapter 1 Introduction/Background Logic Chip Tester User Manual SW Version 1.00 4/8/2012 Chapter 1 Introduction/Background In the 1970 s and 80 s, many digital devices were designed using a number of 14, 16, 20, or perhaps 24- pin logic

More information

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM)

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM) 1 3 Introduction to Memories The most basic classification of a memory device is whether it is Volatile or Non-Volatile (NVM s). These terms refer to whether or not a memory device loses its contents when

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems by John Ziegler Introduction The reliability of data processing, data storage and communications

More information

DS1302. Trickle Charge Timekeeping Chip FEATURES PIN ASSIGNMENT PIN DESCRIPTION

DS1302. Trickle Charge Timekeeping Chip FEATURES PIN ASSIGNMENT PIN DESCRIPTION DS132 Trickle Charge Timekeeping Chip FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 21 31 x 8 RAM

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

Latent Damage and Reliability in Semiconductor Devices

Latent Damage and Reliability in Semiconductor Devices May1625 Latent Damage and Reliability in Semiconductor Devices DESIGN DOCUMENT SEAN SANTELLA, HAYLE OLSON, DAVID ACKERMAN, JAEHYUK HAN Advisor & Client: Dr. Randall Geiger, ECpE Thursday, December 03,

More information

CHAPTER 5. Voltage Regulator

CHAPTER 5. Voltage Regulator CHAPTER 5 Voltage Regulator In your robot, the energy is derived from batteries. Specifically, there are two sets of batteries wired up to act as voltage sources; a 9V battery, and two 1.5V batteries in

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

MP6500 Stepper Motor Driver, Digital Current Control

MP6500 Stepper Motor Driver, Digital Current Control This breakout board for the MPS MP6500 micro stepping bipolar stepper motor driver is Pololu s latest stepper motor driver. The module has a pinout and interface that are very similar to that of our popular

More information

CD4010C Hex Buffers (Non-Inverting)

CD4010C Hex Buffers (Non-Inverting) Hex Buffers (Non-Inverting) General Description The CD4010C hex buffers are monolithic complementary MOS (CMOS) integrated circuits. The N- and P-channel enhancement mode transistors provide a symmetrical

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

DS1676 Total Elapsed Time Recorder, Erasable

DS1676 Total Elapsed Time Recorder, Erasable www.dalsemi.com Preliminary DS1676 Total Elapsed Time Recorder, Erasable FEATURES Records the total time that the Event Input has been active and the number of events that have occurred. Volatile Elapsed

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. 16,384-BIT EPROM WITH I/O! 2048 Words x 8 Bits! Single + 5V Power Supply

More information

In-Circuit Functional Test ATE Tools

In-Circuit Functional Test ATE Tools In-Circuit Functional Test ATE Tools Today many tools are available to test a PCB either in the production line and for repair purposes. In this article we ll try to highlight the basic principles behind

More information

1 Megabit Serial Flash EEPROM SST45LF010

1 Megabit Serial Flash EEPROM SST45LF010 EEPROM FEATURES: Single.0-.V Read and Write Operations Serial Interface Architecture SPI Compatible: Mode 0 and Mode Byte Serial Read with Single Command Superior Reliability Endurance: 00,000 Cycles (typical)

More information

Keywords Digital IC tester, Microcontroller AT89S52

Keywords Digital IC tester, Microcontroller AT89S52 Volume 6, Issue 1, January 2016 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Digital Integrated

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8

MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8 The Future of Analog IC Technology MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8 DESCRIPTION The MP5013A is a protection device designed to

More information

QPRO Family of XC1700E Configuration PROMs

QPRO Family of XC1700E Configuration PROMs 11 QPRO Family of XC1700E Configuration PROMs Product Specification Features Configuration one-time programmable (OTP) read-only memory designed to store configuration bitstreams of Xilinx FPGA devices

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

XC1700D Family of Serial Configuration PROMs. Features. Description. November 25, 1997 (Version 1.1) 0 5* Product Specification

XC1700D Family of Serial Configuration PROMs. Features. Description. November 25, 1997 (Version 1.1) 0 5* Product Specification 0 XC1700D Family of Serial Configuration PROMs November 25, 1997 (Version 1.1) 0 5* Product Specification Features Extended family of one-time programmable (OTP) bit-serial read-only memories used for

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

CD4023BC Buffered Triple 3-Input NAND Gate

CD4023BC Buffered Triple 3-Input NAND Gate CD4023BC Buffered Triple 3-Input NAND Gate General Description These triple gates are monolithic complementary MOS (CMOS) integrated circuits constructed with N- and P- channel enhancement mode transistors.

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Stereo Audio Volume Control

Stereo Audio Volume Control PGA2310 Stereo Audio Volume Control FEATURES DIGITALLY CONTROLLED ANALOG VOLUME CONTROL Two Independent Audio Channels Serial Control Interface Zero Crossing Detection Mute Function WIDE GAIN AND ATTENUATION

More information

DS1845 Dual NV Potentiometer and Memory

DS1845 Dual NV Potentiometer and Memory www.maxim-ic.com FEATURES Two linear taper potentiometers -010 one 10k, 100 position & one 10k, 256 position -050 one 10k, 100 position & one 50k, 256 postition -100 one 10k, 100 position & one 100k, 256

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Applications +5V V CC V S EN SYNCH0, SYNCV0 SDA0, SCL0 RED SYNCH1, SYNCV1 SDA1, SCL1 MAX14895E BLU GND

Applications +5V V CC V S EN SYNCH0, SYNCV0 SDA0, SCL0 RED SYNCH1, SYNCV1 SDA1, SCL1 MAX14895E BLU GND 19-5819; Rev ; 3/11 E V A L U A T I O N K I T A V A I L A B L E MAX14895E General Description The MAX14895E integrates level-translating buffers and features RED, GRN, and BLU (RGB) port protection for

More information

DS1306. Serial Alarm Real Time Clock (RTC)

DS1306. Serial Alarm Real Time Clock (RTC) www.dalsemi.com FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 2100 96-byte nonvolatile RAM for data

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

DS WIRE INTERFACE 11 DECOUPLING CAP GND

DS WIRE INTERFACE 11 DECOUPLING CAP GND Rev ; 4/3 Hex Nonvolatile Potentiometer with General Description The contains six 256-position nonvolatile (NV) potentiometers, 64 bytes of NV user EEPROM memory, and four programmable NV I/O pins. The

More information

Drexel University Electrical and Computer Engineering Department ECE 200 Intelligent Systems Spring Lab 1. Pencilbox Logic Designer

Drexel University Electrical and Computer Engineering Department ECE 200 Intelligent Systems Spring Lab 1. Pencilbox Logic Designer Lab 1. Pencilbox Logic Designer Introduction: In this lab, you will get acquainted with the Pencilbox Logic Designer. You will also use some of the basic hardware with which digital computers are constructed

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable Level

More information

Verification and Testing

Verification and Testing Verification and Testing He s dead Jim... L15 Testing 1 Verification versus Manufacturing Test Design verification determines whether your design correctly implements a specification and hopefully that

More information

Quad GTL/GTL+ to LVTTL/TTL bidirectional non-latched translator

Quad GTL/GTL+ to LVTTL/TTL bidirectional non-latched translator Quad GTL/GTL+ to LVTTL/TTL bidirectional non-latched translator Rev. 07 3 February 2009 Product data sheet 1. General description The is a quad translating transceiver designed for 3.3 V system interface

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

AN Design guidelines for COG modules with NXP monochrome LCD drivers. Document information

AN Design guidelines for COG modules with NXP monochrome LCD drivers. Document information Design guidelines for COG modules with NXP monochrome LCD drivers Rev. 03 11 June 2009 Application note Document information Info Content Keywords ITO layout, LCD driver Abstract This application note

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

EPROM. Application Note CMOS EPROM. Interfacing Atmel LV/BV EPROMs on a Mixed 3-Volt/5- Volt Data Bus

EPROM. Application Note CMOS EPROM. Interfacing Atmel LV/BV EPROMs on a Mixed 3-Volt/5- Volt Data Bus Interfacing Atmel LV/BV EPROMs on a Mixed 3-volt/5-volt Data Bus Introduction Interfacing Atmel Corporation s low voltage (LV/BV) EPROMs on a common data bus with standard 5-volt devices can be achieved

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

Automotive Electronics Council Component Technical Committee

Automotive Electronics Council Component Technical Committee ATTACHMENT 3 AEC - Q100-003 REV-E MACHINE MODEL ELECTROSTATIC DISCHARGE TEST Acknowledgment Any document involving a complex technology brings together experience and skills from many sources. The Automotive

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX6628MKA Rev. A RELIABILITY REPORT FOR MAX6628MKA PLASTIC ENCAPSULATED DEVICES September 30, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Features. Applications

Features. Applications Micro-Power Voltage Supervisor IttyBitty General Description The is a power supply supervisor that provides undervoltage monitoring, manual reset capability, and power-on reset generation in a compact

More information

INTEGRATED CIRCUITS. PCA bit I 2 C and SMBus I/0 port with reset. Product data Supersedes data of 2002 May Dec 13

INTEGRATED CIRCUITS. PCA bit I 2 C and SMBus I/0 port with reset. Product data Supersedes data of 2002 May Dec 13 INTEGRATED CIRCUITS Supersedes data of 2002 May 13 2002 Dec 13 Philips Semiconductors FEATURES Lower voltage, higher performance migration path for the PCA9556 8 general purpose input/output expander/collector

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Telecommunications Equipment Test Equipment. Description

Telecommunications Equipment Test Equipment. Description Eight Character 5 mm and 7 mm Smart Alphanumeric Displays Technical Data HDSP-210X Series HDSP-211X Series HDSP-250X Series Features X Stackable (HDSP-21XX) XY Stackable (HDSP-250X) 128 Character ASCII

More information

NAND32GW3F4A. 32-Gbit (4 x 8 Gbits), two Chip Enable, 4224-byte page, 3 V supply, multiplane architecture, SLC NAND flash memories.

NAND32GW3F4A. 32-Gbit (4 x 8 Gbits), two Chip Enable, 4224-byte page, 3 V supply, multiplane architecture, SLC NAND flash memories. 32-Gbit (4 x 8 Gbits), two Chip Enable, 4224-byte page, 3 V supply, multiplane architecture, SLC NAND flash memories Features High-density SLC NAND flash memory 32 Gbits of memory array 1 Gbit of spare

More information

QPro XQ17V16 Military 16Mbit QML Configuration PROM

QPro XQ17V16 Military 16Mbit QML Configuration PROM R 0 QPro XQ17V16 Military 16Mbit QML Configuration PROM DS111 (v1.0) December 15, 2003 0 8 Product Specification Features 16Mbit storage capacity Guaranteed operation over full military temperature range:

More information