CMOS Testing: Part 1. Outline

Size: px
Start display at page:

Download "CMOS Testing: Part 1. Outline"

Transcription

1 CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE 261 Krish Chakrabarty 1 Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Outline Observability and Controllability Design for Test Scan BIST Boundary Scan ECE 261 Krish Chakrabarty 3 1

2 Testing Testing is one of the most expensive parts of chips Logic verification accounts for > 50% of design effort for many chips Debug time after fabrication has enormous cost Shipping defective parts can sink a company Example: Intel FDIV bug Logic error not caught until > 1M units shipped Recall cost $450M (!!!) ECE 261 Krish Chakrabarty 4 Logic Verification Does the chip simulate correctly? Usually done at HDL level Verification engineers write test bench for HDL Can t test all cases Look for corner cases Try to break logic design Ex: 32-bit adder Test all combinations of corner cases as inputs: 0, 1, 2, , -1, -2 31, a few random numbers Good tests require ingenuity ECE 261 Krish Chakrabarty 5 2

3 Silicon Debug Test the first chips back from fabrication If you are lucky, they work the first time If not Logic bugs vs. electrical failures Most chip failures are logic bugs from inadequate simulation Some are electrical failures Crosstalk Dynamic nodes: leakage, charge sharing Ratio failures A few are tool or methodology failures (e.g. DRC) Fix the bugs and fabricate a corrected chip ECE 261 Krish Chakrabarty 6 Shmoo Plots How to diagnose failures? Hard to access chips Picoprobes Electron beam Laser voltage probing Built-in self-test Shmoo plots Vary voltage, frequency Look for cause of electrical failures ECE 261 Krish Chakrabarty 7 3

4 Need for Testing Physical defects are likely in manufacturing Missing connections (opens) Bridged connections (shorts) Imperfect doping, processing steps Packaging Yields are generally low Yield = Fraction of good die per wafer Need to weed out bad die before assembly Need to test during operation Electromagnetic interference, mechanical stress, electromigration, alpha particles ECE 261 Krish Chakrabarty 8 Manufacturing Test A speck of dust on a wafer is sufficient to kill chip Yield of any chip is < 100% Must test chips after manufacturing before delivery to customers to only ship good parts Manufacturing testers are very expensive Minimize time on tester Careful selection of test vectors ECE 261 Krish Chakrabarty 9 4

5 Testing Your Chips If you don t have a multimillion dollar tester: Build a breadboard with LED s and switches Hook up a logic analyzer and pattern generator Or use a low-cost functional chip tester ECE 261 Krish Chakrabarty 10 Motivation for Testing: XBox 360 Technical Problems The "Red Ring of Death": Three red lights on the Xbox 360 indicator, representing "general hardware failure ( The Xbox 360 can be subject to a number of possible technical problems. Since the Xbox 360 console was released in 2005 the console gained reputation in the press in articles portraying poor reliability and relatively high failure rates. On 5 July 2007, Peter Moore published an open letter recognizing the problem and announcing 3 years warranty expansion for every Xbox 360 console that experiences the general hardware failure indicated by the three flashing red lights on the console. 5

6 XBox 360 Technical Problems and Test-Cost Projections July 5, 2007, Xbox issues to cost Microsoft $1 billion-plus. Unacceptable number of repairs leads to company extending warranties. Matt Rosoff, an analyst at the independent research group Directions on Microsoft, estimates that Microsoft s entertainment and devices division has lost more than $6 billion since Manufacturing cost Test cost ITRS Cost of Test The emergence of more advanced ICs and SOC semiconductor devices is causing test costs to escalate to as much as 50 percent of the total manufacturing cost. M. Kondrat, Bridging design and ATE cuts test cost - Test & Measurement - automatic test equipment, Electronic News, Sept 9, As a result, semiconductor test cost continues to increase in spite of the introduction of DFT, and can account for up to 25-50% of total manufacturing cost. T. Cooper, G. Flynn, G. Ganesan, R. Nolan, C. Tran, Motorola, Demonstration and Deployment of a Test Cost Reduction Strategy Using Design-for-Test (DFT) and Wafer Level Burn-In and Test, (6/29/2001) Future Fab Intl. Volume 11. Test may account for more than 70% of the total manufacturing cost - test cost does not directly scale with transistor count, dies size, device pin count, or process technology, ITRS

7 Cost escalates rapidly Motivation for Testing billion+ Rule of Ten Chip x1 Board x10 System x100 Some Real Defects in Chips Processing defects Missing contact windows Parasitic transistors Oxide breakdown... Material defects Bulk defects (cracks, crystal imperfections) Surface impurities (ion migration)... Time-dependent failures Dielectric breakdown Electromigration... Packaging failures Contact degradation Seal leaks... 7

8 Testing Levels and Test Costs Wafer Packaged chip Board System Field Concurrent checking Cost to detect a fault (per chip) Wafer: $0.01-$0.1 Packaged chip: $0.1-$1 Board: $1-$10 System: $10-$100 Field: $ ECE 261 Krish Chakrabarty 16 Manufacturing Testing Goal: Detect manufacturing detects Defects: layer-to-layer shorts discontinuous wires thin-oxide shorts to substrate or well Faults: nodes shorted to power or ground (stuck-at) nodes shorted to each other (bridging) inputs floating, outputs disconnected (stuck-open) Fault models ECE 261 Krish Chakrabarty 17 8

9 Testing : The Buzzwords Errors Permanent Intermittent Transient Faults Physical Logical Test Evaluation Fault coverage Fault simulation Types of testing Off-line, on-line Self-test vs external test DC (static) vc AC (at-speed) Edge-pin, guided-probe, bedof-nails, E-beam, in-circuit ECE 261 Krish Chakrabarty 18 Testing and Diagnosis Testing: Determine if the system (chip, board) is behaving correctly Diagnosis: Locate the cause of malfunctioning ECE 261 Krish Chakrabarty 19 9

10 Testing and Diagnosis Design Fabrication (Physical defects) Test pattern generation (Fault model) Wafer, IC, board Pass Test Equipment Fail Reject Diagnosis, repair ECE 261 Krish Chakrabarty 20 Testing: The Inevitable Acronyms System under test UUT: Unit Under Test CUT: Circuit Under Test DUT: Device Under Test The tester ATE: Automatic Test Equipment Test generation ATPG: Automatic Test Pattern Generation Fault Models SSL: Single Stuck-Line MSL: Multiple Stuck-Line BF: Bridging Fault DFT: Design for Testability BIST: Built-in self-test LFSR:Linear-Feedback Shift- Register ECE 261 Krish Chakrabarty 21 10

11 Fault Models Defects are too many and too difficult to explicitly enumerate Abstraction (technology independence): presence of physical defect is modeled by changing the logic function (or delay) Reduced complexity: distinct physical defects may be represented by the same logical fault Generality: tests derived for logical faults may detect vaguely-understood or hard-to-analyze physical defects A test pattern detects a fault from the fault model ECE 261 Krish Chakrabarty 22 Single Stuck-Line (SSL) Model A single node in the circuit is stuck-at 1 (s-a-1) or 0 (s-a-0). s-a-0 A D Fault-free function z = AB+CD Faulty function z f = A s-a-1 A D Fault-free function z = AB+CD Faulty function z f = AB+D Number of possible stuck-at faults in a circuit with n lines? Number of faults reduced by finding equivalent classes ECE 261 Krish Chakrabarty 23 11

12 Stuck-At Faults How does a chip fail? Usually failures are shorts between two conductors or opens in a conductor This can cause very complicated behavior A simpler model: Stuck-At Assume all failures cause nodes to be stuck-at 0 or 1, i.e. shorted to GND or V DD Not quite true, but works well in practice ECE 261 Krish Chakrabarty 24 Examples ECE 261 Krish Chakrabarty 25 12

13 SSL Fault Detection A test pattern for fault x s-a-d is an input combination that 1) places d on x (activation), 2) propagates fault effect (D or D) to primary output D: 1/0, D: 0/1 Good circuit Bad circuit s-a-0 A E ABCE = 0011 is a test pattern for C s-a-0 ECE 261 Krish Chakrabarty 26 Multiple Stuck-Line (MSF) Faults More than one line may be stuck at a logic value s-a-0 A D Fault: {C s-a-0, x s-a-1} s-a-1 How many MSL fault can there be in a circuit with n nodes? How to get test patterns for MSL faults? Fault universe is too large, MSL fault model seldom used, especially since tests for SSL faults cover many MSL faults x ECE 261 Krish Chakrabarty 27 13

14 Observability & Controllability Observability: ease of observing a node by watching external output pins of the chip Controllability: ease of forcing a node to 0 or 1 by driving input pins of the chip Combinational logic is usually easy to observe and control Finite state machines can be very difficult, requiring many cycles to enter desired state Especially if state transition diagram is not known to the test engineer ECE 261 Krish Chakrabarty 28 Test Pattern Generation Manufacturing test ideally would check every node in the circuit to prove it is not stuck. Apply the smallest sequence of test vectors necessary to prove each node is not stuck. Good observability and controllability reduces number of test vectors required for manufacturing test. Reduces the cost of testing Motivates design-for-test ECE 261 Krish Chakrabarty 29 14

15 Test Pattern Generation Exhaustive testing: Apply 2 n pattern to n-input circuit Not practical for large n Advantage: Fault-model independent Fault-Oriented Test Generation Algorithm: A D s-a-0 y x 1) Set x to 1: activate fault 2) Justify D on x, propagate D to Set C and D to 1 Example test pattern: ABCD = 0011 Backtracking may be necessary Test generation is NP-complete Set y to 0 Set either A or B to 0 ECE 261 Krish Chakrabarty 30 Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 {0101} {0110} Y {0110} {1110} Minimum set: {0100, 0101, 0110, 0111, 1010, 1110} ECE 261 Krish Chakrabarty 31 15

16 Bridging Faults Models short circuits, pairs of nodes considered Number of bridging faults? Feedback vs non-feedback bridging faults bridge A A B z z f Wired-AND Wired-OR ? ? z f =? What are the test patterns in this example? ECE 261 Krish Chakrabarty 32 V DD Stuck-Open Faults a a b Floating node b Fault-free circuit: z = a+b Faulty circuit: z f = a+b + ab ~ ~ : Previous value of Gnd Case 1: a = b = 1, z pulled down to 0 Case 2: a = 1, b =0, z retains previous state A test for a stuck-open fault requires two patterns {ab = 00, ab = 10} ECE 261 Krish Chakrabarty 33 16

17 Sequential Circuit Test Generation Primary inputs (controllable) n Combinational logic Primary outputs (observable) State inputs (not controllable) m Registers State outputs (not observable) Difficult problem! Exhaustive testing requires 2 m+n patterns (2 m states and 2 n transitions from each state) Every fault requires a sequence of patterns Initializing sequence: drive to known state Test activation Propagation sequence: propagate discrepancy to observable output ECE 261 Krish Chakrabarty 34 Sequential Circuit Test Generation Iterative-array model (pseudo-combinational circuit) A y x D Q A y y x y + ECE 261 Krish Chakrabarty 35 17

18 Sequential Circuit Test Generation A s-a-0 y x D Q Assume initial state of flip-flop is not known A 1 1 y y x ABC = 11X 1 y + A y Backward traversal in time Test pattern sequence: {11X, 011} y + 0 y D D x ABC = 011 Current time frame ECE 261 Krish Chakrabarty 36 Summary Think about testing from the beginning Simulate as you go Plan for test after fabrication If you don t test it, it won t work! (Guaranteed) ECE 261 Krish Chakrabarty 37 18

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Verification and Testing

Verification and Testing Verification and Testing He s dead Jim... L15 Testing 1 Verification versus Manufacturing Test Design verification determines whether your design correctly implements a specification and hopefully that

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing

More information

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 664 A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods Debasmita Hazra Abstract- This

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Semiconductor IC Test and Design-for-Test Fundamentals

Semiconductor IC Test and Design-for-Test Fundamentals Semiconductor IC Test and Design-for-Test Fundamentals By Al Crouch, Chief Scientist, Inovys Corporation The Semiconductor Industry seeks to reduce the cost of manufacturing its product by continuously

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification?

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification? Administrivia ECE/CS 5780/6780: Embedded System Design Scott R. Little Lab 8 status report. Set SCIBD = 52; (The Mclk rate is 16 MHz.) Lecture 18: Introduction to Hardware Verification Scott R. Little

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 5 Combinational Circuit Test Generation (Chapter 7) Said Hamdioui Computer Engineering Lab elft University of Technology 29-2 Learning aims of today

More information

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece. ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES Edited by DIMITRIS GIZOPOULOS University of Piraeus, Greece 4y Springer Foreword xiii by Vishwani D. Agrawal Preface xvii by Dimitris Gizopoulos

More information

Delay and Optimization of Random Number Generator

Delay and Optimization of Random Number Generator International Journal of Scientific and Research Publications, Volume 2, Issue 3, March 2012 1 Delay and Optimization of Random Number Generator Atul Kumar Dewangan 1, Nibedita Chakraborty 2, Smriti Dewangan

More information

Diagnostic Test Vectors for Combinational and Sequential

Diagnostic Test Vectors for Combinational and Sequential Compaction of Pass/Fail-based Diagnostic Test Vectors for Combinational and Sequential Circuits Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi and Yuzo Takamatsu(Ehime University) Kewal K. Saluja

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up

White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up By Alan Sguigna Vice President, Sales and Marketing ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway,

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1 RATS (Reliability and Testability Seminar) Diagnosis of Defects Introducing Voltage Dependences between Nodes By François-Fabien Ferhani 5/27/2003 Ferhani, RATS/SPRING03 Outline Introduction Problems &

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, LOW POWER VLSI TESTING OVERVIEW Asha GH Associate Professor, Dept of E & C Engineering, Malnad College of Engineering. Hassan. India Email: ashaghmce@yahoo.com, gha@mcehassan.ac.in Abstract An unintended

More information

Multi-site Probing for Wafer-Level Reliability

Multi-site Probing for Wafer-Level Reliability Multi-site Probing for Wafer-Level Reliability Louis Solis De Ancona 1 Sharad Prasad 2, David Pachura 2 1 Agilent Technologies 2 LSI Logic Corporation s Outline Introduction Multi-Site Probing Challenges

More information

DESIGN OF RANDOM NUMBER GENERATOR AND ITS DELAY AND POWER OPTIMIZATION A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF

DESIGN OF RANDOM NUMBER GENERATOR AND ITS DELAY AND POWER OPTIMIZATION A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DESIGN OF RANDOM NUMBER GENERATOR AND ITS DELAY AND POWER OPTIMIZATION A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF Bachelor of Technology in Electronics and Communication

More information

Computers: Inside and Out

Computers: Inside and Out Computers: Inside and Out Computer Components To store binary information the most basic components of a computer must exist in two states State # 1 = 1 State # 2 = 0 1 Transistors Computers use transistors

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

Net Diagnosis Using Stuck-at and Transition Fault Models. Lixing Zhao

Net Diagnosis Using Stuck-at and Transition Fault Models. Lixing Zhao Net Diagnosis Using Stuck-at and Transition Fault Models by Lixing Zhao A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

White Paper: Through-Silicon Via Interconnection Reliability Assurance System. 1 Introduction

White Paper: Through-Silicon Via Interconnection Reliability Assurance System. 1 Introduction White Paper: Through-Silicon Via Interconnection Reliability Assurance System 1 Introduction Ridgetop Group is developing a solution addressing the quality and reliability problems of applications that

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Justin Hernandez SA837/CORP/GSG ZAS37/justin.hernandez@motorola.com Philip Giangarra RU433/SPS/NCSG

More information

Testing VLSI Circuits

Testing VLSI Circuits Chapter 12 VLSI Designs Testing VLSI Circuits Prepared by Dr. Lim Soo King 02 Dec 2010. Chapter 12 Testing of VLSI Circuits... 121 12.0 Introduction...121 12.1 Design Constraints...121 12.1.1 Design Rule

More information

Hybrid Built-In Self-Test and Test Generation Techniques for Digital Systems

Hybrid Built-In Self-Test and Test Generation Techniques for Digital Systems Linköping Studies in Science and Technology Dissertation No. 945 Hybrid Built-In Self-Test and Test Generation Techniques for Digital Systems Gert Jervan Department of Computer and Information Science

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

LSN 6 Programmable Logic Devices

LSN 6 Programmable Logic Devices LSN 6 Programmable Logic Devices Department of Engineering Technology LSN 6 What Are PLDs? Functionless devices in base form Require programming to operate The logic function of the device is programmed

More information

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MODEL 102P 102R DESCRIPTION Floor Stand (Plane) Floor Stand (Modified) HTRB Burn-In System (diode) Component Burn-In

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM)

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM) 1 3 Introduction to Memories The most basic classification of a memory device is whether it is Volatile or Non-Volatile (NVM s). These terms refer to whether or not a memory device loses its contents when

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs by Brandon Noia Department of Electrical and Computer Engineering Duke University Date: Approved: Krishnendu Chakrabarty, Supervisor

More information

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Mark Watts, Shane Rose Quartzdyne, Inc. 4334 W Links Drive Salt Lake City,

More information

Focus On Structural Test: AC Scan

Focus On Structural Test: AC Scan Focus On Structural Test: AC Scan Alfred L. Crouch Chief Scientist Inovys Corporation al.crouch@inovys.com The DFT Equation The Problem What is Driving Modern Test Technology? 300mm Wafers Volume Silicon/Test

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

Future Trends One Mann s Opinion

Future Trends One Mann s Opinion Future Trends One Mann s Opinion Bill Mann General Chair - SWTW Southwest Test Workshop Newport Beach, CA 92663 949-645-3294 william.mann@ieee.org Future Trends One Mann s Opinion Relative Reduction in

More information

CS 250 VLSI Design Lecture 11 Design Verification

CS 250 VLSI Design Lecture 11 Design Verification CS 250 VLSI Design Lecture 11 Design Verification 2012-9-27 John Wawrzynek Jonathan Bachrach Krste Asanović John Lazzaro TA: Rimas Avizienis www-inst.eecs.berkeley.edu/~cs250/ IBM Power 4 174 Million Transistors

More information