FPGA Power and Timing Optimization: Architecture, Process, and CAD

Size: px
Start display at page:

Download "FPGA Power and Timing Optimization: Architecture, Process, and CAD"

Transcription

1 FPGA Power and Timing Optimization: Architecture, Process, and CAD Chun Zhang, Lerong Cheng, Lingli Wang* and Jiarong Tong Abstract Field programmable gate arrays (FPGAs) allow the same silicon implementation to be programmed or reprogrammed for a variety of applications. It provides low NRE (non-recurring engineering) cost and short time to market. As CMOS technology continue to scale down to nanometer, increased power consumption and worsened process variation become crucial constraints for FPGAs. The survey reviews the process and architecture evaluation and CAD algorithms to optimize power and delay for FPGAs I. INTRODUCTION Since being invented in 984, FPGAs have become one of the most popular implementation media for digital circuits and have grown into two billion US dollars per year industry []. The continuous scaling of silicon-based integration technology enables the ever growing number of transistors on a single chip to implement circuits and systems. For Application Specific tegrated Circuits (ASICs), the same chip can be used only for one circuit or system. This leads to a high NRE cost, measured in over one million US dollars per application for the current leading silicon technology and expected to grow with silicon scaling. On the other hand, programmable logic fabrics such as FPGAs where the same chip can be programmed or re-programmed into a variety of circuits and systems, have a much lower NRE cost and also a much shorter time to market. Therefore, FPGAs have started to gain more and more market shares from ASICs. However, in order to achieve programmability, FPGA pays the penalty with decrease of performance, power, and area. Due to the large number of transistors required for field programmability and the low utilization rate of FPGA resources (typically 6.5% []), there are a 00X energy difference, a 4.X delay difference, and a 40X area difference between FPGA designs and their ASIC counterparts [], [4]. As the process advances to nanometer technologies, power consumption is a crucial design constraint for nano-scale VLSI circuits. The power problem is more significant for FPGAs than ASICs because FPGA has higher power consumptions. There are lots of existing works on optimizing timing and power for FPGAs. this survey, we review the two major types of techniques for FPGA power and delay optimization: ) process and architecture evaluation; ) computer-aided design (CAD) algorithms. This survey is organized as follows: Section II first introduces some preliminaries of FPGAs; then Section III reviews C. Zhang, L. Wang and J. Tong are with State Key Lab of ASIC and System, Fudan University, China. Lerong Cheng is with SanDisk Corporation, CA, USA. *L. Wang is correspondence author, llwang@fudan.edu.cn Memetic Computing Society existing process and architecture evaluation techniques; Section IV presents FPGA CAD algorithms; finally Section V concludes this survey. II. PRELIMINARIES A. Conventional FPGA Architecture The most popular architecture for FPGAs is cluster-based island style FPGA architecture [5]. A cluster-based logic (see Figure ) includes several fully or partially connected Basic Logic Elements (BLEs). Each BLE includes one K- input lookup table (LUT) and one flip-flop (DFF). The logic s are surrounded by routing channels consisting of wire segments. The input and output pins of a logic can be connected to the wire segments in routing channels via a connection (see Figure (b)). A routing switch is located at the intersection of a horizontal channel and a vertical channel. Figure (c) shows a subset switch [6], where the incoming track can be connected to the outgoing tracks with the same track index. The connections in a switch (represented by the dashed lines in Figure (c)) are programmable routing switches. The routing switches can be implemented as bi-directional tri-state buffers (Figure (d)), uni-directional tri-state buffers, or pass-transistors. interconnect segment is a wire segment driven by a tri-state buffer. Usually, the LUTs are referred to as logic circuit elements, interconnect within logic s is referred to as local interconnect, and interconnect outside logic s is referred to as global interconnect. B. FPGA Power and Delay Analysis Framework Power and delay analysis framework is necessary for FPGA power and delay optimization. A popular FPGA timing evaluation tool is the static timing analysis engine in the timing driven Versatile Placement and Routing (VPR) tool [5]. VPR provides the critical path delay report after performing placement and routing for an FPGA. the past decade, there are several research work on power analysis for FPGAs [7] [0]. fpgaeva-lp [0] is one of the most popular frameworks. Figure illustrates the overall analysis for fpgaeva-lp. For a given circuit, SIS [] is used to perform the technology independent logic optimization and use Flowmap [] in RASP [] to conduct the technologymapping. Then physical design in VPR [5], including timingdriven packing, placement and routing is performed to generate the BC-netlist (Basic Circuit Netlist) back-annotated with post-layout resistance and capacitance. With the BC-netlist, cycle accurate power simulation is performed to estimate the chip level power. ICCP 00 Proceedings

2 connection 0 0 switch (a) Island style routing architecte 0 B 0 A C 0 D 0 (c) connection switch logic connection (b) Connection and connection switch A (d) Routing switches Fig. : (a) Island style routing architecture; (b) Connection ; (c) ; (d) Routing switches. B circuit elements. A new type of routing multiplexer and an input control method were developed [6] to reduce leakage of unused routing multiplexers, and a circuitry combining gate biasing, body biasing and multi-threshold techniques was designed [7] to reduce interconnect leakage. Besides leakage power reduction, dynamic power reduction is also studied. A dual-vdd FPGA architecture was studied in [8], [8] []. The dual-vdd FPGA circuits are shown in Figure and 4. these circuits, two transistors are placed between supply voltage and circuit elements to choose Vdd level. dual-vdd FPGA, most non-critical path elements are assigned low Vdd to save dynamic power. Moreover, for the low Vdd circuit elements to drive high Vdd circuit, level shifter (LS) is needed. Moreover, [] introduced dual-vdd circuit without level converters, which further reduces power and area introduced by level converters. Notice that here, dual- Vdd circuits can achieve not only Vdd selection but also power gating. Figure 5 [] compares power consumption of different FPGA circuits. It can be seen that dual-vdd technique significantly reduces FPGA power consumption. Circuit logic Logic optimization (SIS) Technology Mapping (RASP) M LC i i0 MUX M BUFF M4 M Vdd Programmable connection switch connection Arch Spec. Mapped Netlist Timing driven packing (T VPACK), placement and routing (VPR) Routing with W =. Wmin (VPR) (a) Configurable level conversion (b) Vdd programmable routing switch (c) Vdd programmable connection Fig. : (a) Configurable level shifter; (b) Vdd-programmable routing switch; (c) Vdd-programmable connection. ( stands for AM cell and LC stands for level shifter.) VPR BCG Delay/capacitance extraction and back annotation BC netlist _En _En Pass_En Logic Power estimation Fig. : FPGA power analysis framework. III. PROCESS AND ARCHITECTURE EVALUATION A. Low Power FPGA Circuits Due to the low utilization rate, the leakage power consumption is significant for FPGAs than that for ASICs. As a result, the problem of leakage power reduction was first studied in the literature. Region based power gating for FPGA logic s [4] and fine-grained power-gating (PG) for FPGA interconnects [5] were proposed. power-gating circuits, a gating transistor is placed between power supply and circuit elements to shut down the power supply for the unused (a) Vdd Programmable switch _En _En Pass_En (b) AM efficient Vdd programmable routing switch log N AM cells Connection es Vdd_Sel Decoder Dec_Disable _En Pass_En _En (c) AM efficientvdd programmable connection Fig. 4: (a) Vdd-programmable switch; (b) AM-efficient Vdd-programmable routing switch; (c) AM-efficient Vddprogrammable connection. d dn _En _En Pass_En N switches Wire tracks

3 Total FPGA Energy/Cycle (nj) % 4.6%.6% 9.5% 58.6%.7%.56% 4.00%.90% 9.78% 56.7%.9% Logic Leakage Logic Dynamic Local terconnect Leakage Local terconnect Dynamic Global terconnect Leakage Global terconnect Dynamic 6.4% 6.8% 5.0% 5.46% 7.68% 59.4% S -Vdd D-Vdd w/ LC S -Vdd w/ PG D-Vdd w/o LC 7.6% 7.% 5.76% 7.85% 9.66% Fig. 5: Energy breakdown for different FPGA circuits. 5.4% Arch Spec Logic Optimization(SIS) Tech-Mapping (RASP) Timing-Driven Packing (TV-Pack) Placement & Routing (VPR) Area Benchmark Delay Parasitic Extraction Cycle-accurate Power Simulator (Psim) Power Fig. 6: Existing FPGA architecture evaluation flow for a given device setting. B. Architecture Evaluation Besides, circuit level, FPGA architecture, including LUT size, logic size, interconnect wire segment length, switch box type, has great impact on power, delay, and area. Architecture evaluation has been performed first for area and delay. For non-clustered FPGAs, it was shown that an LUT size of 4 achieves the smallest area [] and an LUT size of 5 or 6 leads to the best performance [4]. Later on, the cluster-based island style FPGA was studied to optimize area-delay product and it showed that LUT sizes ranging from 4 to 6 and cluster sizes between 4 and 0 can produce the best area-delay product [5]. Besides area and delay, FPGA architecture evaluation considering energy was studied recently [7] [0]. An FPGA architecture evaluation flow considering area, delay, and power was introduced in [0], as shown in Figure 6. For a given benchmark set, logic was optimized and circuit was mapped to a given LUT size. Then time driven packing (TV-Pack) is used to pack the mapped circuit to a given cluster size. After packing, VPR is used to place and route the circuit. Finally, cycle-accurate power simulator [7] is used to estimate the chip level power consumption. People may apply the above flow for different FPGA architectures to obtain the timing and power. Then the optimum architecture can be chosen by evaluating the timing and power values. [6] further evaluated FPGA architectures with field programmable dual-vdd and power gating, and considering area, delay, and energy. It was shown that in 0.5µm technology, an LUT size of consumes the smallest energy [9]. 00nm technology, an LUT size of 4 consumes the smallest energy [0]. C. Process and Architecture Co-Optimization Compared to architecture and circuit design, process parameters, such as Vdd, gate channel length, dopant density, have much higher impact on circuit power and performance. To further reduce power and delay, process and architecture cooptimization is studied [7] [9]. Combining architecture and process, there are more than six parameters to be evaluated, which leads to huge amount of combinations. this case, the architecture evaluation flow discussed above is too slow to perform such evaluation. [7] [9] present a timing efficient power and delay evaluation flow called Ptrace. The basic idea is to perform traditional architecture evaluation flow (as in Figure 6) under one set of process parameters to generate the trace information and reused the trace information for other process parameters to save the simulation time. The Ptrace is shown in Figure 7. It is shown that for 65nm technology, applying architecture and process co-optimization reduces energy delay product by 55%. put Transistor Model Trace PTrace Fig. 7: Trace-based estimation flow. IV. CAD ALGORITHMS A. Deterministic CAD Algorithms put Chip Level Process Variation Reliability Compared to ASICs, the programmability of FPGAs offers a unique opportunity to perform post-silicon design optimization. Low power and high performance CAD algorithms for FPGAs have been studied for a decade. By nature, the dynamic power consumption is defined by equation (), where f is the circuit frequency, Vdd is the circuit working voltage, and C i is the load capacitance of circuit

4 4 node i. The transition density, E i, defines the frequency signal switching (either from high to low or from low to high) of each node. It is easy to observe that by reducing E i, the total power consumption can be reduced. P dyn = fv dd n i= C i E i () The interaction of a suit of power-aware FPGA CAD algorithms without changing the existing FPGAs was studied in [0]. Based on that fact that inter-clb/lut interconnects have much larger capacitance than intra-clb/lut resources, this work aims at absorbing those high transition density nodes into same CLB/LUT in different CAD tasks like technology mapping, placement, etc. that case, they re associated with lower capacitance value and lead to reduction in power consumption. Take the placement problem as an example. The original timing driven placement algorithm incorporates both wiring cost and timing cost into consideration, which are defined by equation () and (). Timing Cost = N nets Wiring Cost = i, j circuit i= q(i) [bb x (i) + bb y (i)] () Delay(i, j) Criticality(i, j) CE () The wiring cost is included to punish the appearance of long nets (i.e., nets with large bounding box), while the timing cost helps placing timing criticality components nearby to enhance the circuit performance. To make the placer power aware, a third cost component, the power cost, is also as well introduced. Equation (4) formulates the power cost function. By multiplying the bounding box and its transition density for each net, it estimates the power consumption of different nets. N nets Power Cost = i= q(i) [bb x (i) + bb y (i)] D i (4) Finally, all three cost components are incorporated into the overall placement cost function, which is given by equation (5). TimingCost Cost = α PreviousTimingCost WiringCost +β (5) PreviousWiringCost PowerCost +( α β) PreviousPowerCost The coefficients α and β adjust the importance of each cost component, thus making the trade-off among area, performance and power consumption of the final placement result. Following the same idea of reducing C i E i, similar power cost is added to technology mapping and routing algorithms as well. Later on, A configuration inversion method to reduce the leakage power of multiplexers without any additional hardware [] was investigated. As low power FPGA circuits, such as power gating, body bias and dual-vdd are introduced, there are more flexibility for CAD algorithms to reduce power and delay. Power-driven partition algorithm for mapping applications to FPGAs with different Vdd-levels [] was proposed. [0], [] propose linear programming based Vdd assignment algorithms to save power. B. Statistical CAD Algorithms As technology scales down, process variation becomes more and more significant. To handle process variation, statistical CAD algorithms are presented: [] presents a statistical FPGA placement algorithm to improve timing yield. [4] proposes a physical synthesis flow to consider both process variation and pre-routing interconnect uncertainty. [5] introduces a statistical dual-vdd assignment algorithm to improve both timing and leakage yield. Leveraging the programmability of FPGAs, [6] provides a chipwise placement flow to improve FPGA performance, as shown in Figure 8. The basic idea of this flow is to perform different placement for different chips according to the chips variation information. For a given set of FPGA chips, a set of sample chips are tested to characterize process variation. Based on such information, the potential delay improvement of chipwise placement is estimated. If the improvement is large, it is worthwhile to perform placement for each chip. this case, the variation map for each chip is generated by synthesizing test circuits for each chip. On the other hand, when the improvement is not significant, the conventional design flow, which uses the same placement and route for all chips, will be applied. It is shown that chipwise FPGA placement improves circuit performance by up to.%. Fig. 8: Design flow of variation aware chipwise placement. V. CONCLUSION This survey has reviewed the existing research works on optimizing process, architecture, and CAD algorithms to reduce power and improve performance for FPGAs. Although the optimization techniques have improved dramatically in the past decade, as CMOS technology level scales down, the fundamental questions, such as power, performance, variation, and reliability become more and more significant. It requires further studies to solve these problems.

5 5 REFERENCES [] ternational Technology Roadmap for Semiconductors 00, [] T. Tuan and B. Lai, Leakage power analysis of a 90nm FPGA, in Proc. IEEE Custom tegrated Circuits Conf., 00. [] E. Kusse and J. M. Rabaey, Low-energy embedded FPGA structures, in Proc. tl. Symp. Low Power Electronics and Design, pp , August 998. [4] I. Kuon and J. Rose, Measuring the gap between fpgas and asics, IEEE Trans. Computer-Aided Design of tegrated Circuits and Systems, Feb [5] V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep- Submicron FPGAs. Kluwer Academic Publishers, Feb [6] G. G. Lemieux and S. D. Brown, A detailed router for allocating wire segments in field-programmable gate arrays, in Proceedings of the ACM Physical Design Workshop, Apr. 99. [7] F. Li, D. Chen, L. He, and J. Cong, Architecture evaluation for powerefficient FPGAs, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, Feb. 00. [8] F. Li, Y. Lin, L. He, and J. Cong, Low-power FPGA using pre-defined dual-vdd/dual-vt fabrics, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, February 004. [9] K. Poon, A. Yan, and S. Wilton, A flexible power model for FPGAs, in Proc. of th ternational conference on Field-Programmable Logic and Applications, Sept. 00. [0] F. Li, Y. Lin, L. He, D. Chen, and J. Congs, Power modeling and characteristics of field programmable gate arrays, IEEE Trans. Computer-Aided Design of tegrated Circuits and Systems, vol. 4, pp. 7 74, Nov [] E. M. Sentovich et. al., SIS: A system for sequential circuit systhesis, in Department of Electrical Engineering and Computer Science, Berkeley, CA 9470, 99. [] J. Cong and Y. Ding, Flowmap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs, IEEE Trans. Computer-Aided Design of tegrated Circuits and Systems, vol., pp., Jan [] J. Cong, J. Peck, and Y. Ding, RASP: A general logic synthesis system for AM-based FPGAs, in Proc. ACM tl. Symp. Field- Programmable Gate Arrays, Feb [4] A. Gayasen, Y. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and T. Tuan, Reducing leakage energy in FPGAs using region-constrained placement, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, February 004. [5] Y. Lin, F. Li, and L. He, Routing track duplication with fine-grained power-gating for FPGA interconnect power reduction, in Proc. Asia South Pacific Design Automation Conf., Jan [6] S. Srinivasan, A. Gayasen, N. Vijaykrishnan, and T. Tuan, Leakage control in FPGA routing fabric, in Proc. Asia South Pacific Design Automation Conf., Jan [7] A. Lodi, L. Ciccarelli, and R. Giansante, Combining low-leakage techniques for FPGA routing design, in Proc. ACM tl. Symp. Field- Programmable Gate Arrays, Februray 005. [8] F. Li, Y. Lin, and L. He, FPGA power reduction using configurable dual-vdd, in Proc. Design Automation Conf., June 004. [9] A. Gayasen, K. Lee, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and T. Tuan, A dual-vdd low power FPGA architecture, in Proc. tl. Conf. Field-Programmable Logic and its Application, August 004. [0] Fei Li and Yan Lin and Lei He, Vdd programmability to reduce FPGA interconnect power, in Proc. tl. Conf. Computer-Aided Design, Nov [] Jason H. Anderson and Farid N. Najm, Low-power programmable routing circuitry for FPGAs, in Proc. tl. Conf. Computer-Aided Design, Nov [] Y. Lin and L. He, Leakage efficient chip-level dual-vdd assignment with time slack allocation for FPGA power reduction, in Proc. Design Automation Conf., June 005. [] J. Rose, R. J. Francis, D. Lewis, and P. Chow, Architecture of fieldprogrammable gate arrays: The effect of logic functionality on area efficiency, IEEE Journal of Solid-State Circuits, 990. [4] S. Singh, J. Rose, P. Chow, and D. Lewis, The effect of logic architecture on FPGA performance, IEEE Journal of Solid-State Circuits, 99. [5] E. Ahmed and J. Rose, The effect of LUT and cluster size on deepsubmicron FPGA performance and density, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, pp., Feb [6] Y. Lin, F. Li, and L. He, Circuits and architectures for vdd programmable FPGAs, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, Feb [7] L. Cheng, F. Li, Y. Lin, P. Wong, and L. He, Device and architecture cooptimization for FPGA power reduction, IEEE Trans. Computer- Aided Design of tegrated Circuits and Systems, vol. 9, pp., July 007. [8] P. Wong, L. Cheng, Y. Lin, and L. He, FPGA device and arhitecture evaluation consdering process variation, in Proc. tl. Conf. Computer- Aided Design, Nov [9] L. Cheng, Y. Lin, and L. He, Tracebased framework for concurrent development of process and FPGA architecture considering process variation and reliability, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, February 008. [0] J. Lamoureux and S. J. Wilton, On the interaction between power-aware FPGA CAD algorithms, in Proc. tl. Conf. Computer-Aided Design, pp , Nov. 00. [] J. H. Anderson, F. N. Najm, and T. Tuan, Active leakage power optimization for FPGAs, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, February 004. [] R. Mukherjee and S. O. Memik, Power-driven design partitioning, in Proc. tl. Conf. Field-Programmable Logic and its Application, August 004. [] Y. Lin, M. Hutton, and L. He, Placement and timing for FPGAs considering variations, in Proc. tl. Conf. Field-Programmable Logic and its Application, August 006. [4] Y. Lin and L. He, Stochastic physical synthesis for FPGAs with prerouting interconnect uncertainty and process variation, in Proc. ACM tl. Symp. Field-Programmable Gate Arrays, Feb [5] Y. Lin and L. He, Statistical dual-vdd assignment for fpga interconnect power reduction, in Proc. Design Automation and Test Conf. in Europe, Apr [6] L. Cheng, J. Xiong, L. He, and M. Hutton, FPGA performance optimization via chipwise placement considering process variations, in ternational Conference on Field-Programmable Logic and Applications, August 006.

Vdd Programmability to Reduce FPGA Interconnect Power

Vdd Programmability to Reduce FPGA Interconnect Power Vdd Programmability to Reduce FPGA Interconnect Power Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 ABSTRACT Power is an increasingly important

More information

Device And Architecture Co-Optimization for FPGA Power Reduction

Device And Architecture Co-Optimization for FPGA Power Reduction 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

FIELD programmable gate arrays (FPGAs) provide an attractive

FIELD programmable gate arrays (FPGAs) provide an attractive IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 9, SEPTEMBER 2005 1035 Circuits and Architectures for Field Programmable Gate Array With Configurable Supply Voltage Yan Lin,

More information

FPGA Power and Timing Optimization: Architecture, Process, and CAD

FPGA Power and Timing Optimization: Architecture, Process, and CAD FPGA Power and Timing Optimization: Architecture, Process, and CAD Chun Zhang 1, Lerong Cheng 2, Lingli Wang 1* and Jiarong Tong 1 1 State-Key-Lab of ASIC & System, Fudan University llwang@fudan.edu.cn

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA

POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA B.Sankar 1, Dr.C.N.Marimuthu 2 1 PG Scholar, Applied Electronics, Nandha Engineering College, Tamilnadu, India 2 Dean/Professor of ECE,

More information

Architecture Evaluation for

Architecture Evaluation for Architecture Evaluation for Power-efficient FPGAs Fei Li*, Deming Chen +, Lei He*, Jason Cong + * EE Department, UCLA + CS Department, UCLA Partially supported by NSF and SRC Outline Introduction Evaluation

More information

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction 44.1 Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

DESIGN METHODS IN SUB-MICRON TECHNOLOGIES

DESIGN METHODS IN SUB-MICRON TECHNOLOGIES Chapter 1 DESIGN METHODS IN SUB-MICRON TECHNOLOGIES Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 Field Programmable Gate Arrays (FPGA) provides an

More information

FPGA Power Reduction Using Configurable Dual-Vdd

FPGA Power Reduction Using Configurable Dual-Vdd FPGA Power Reduction Using Configurable Dual-Vdd 45.1 Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA {feil, ylin, lhe}@ee.ucla.edu ABSTRACT Power

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

An Efficient Chip-level Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction

An Efficient Chip-level Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction An Efficient Chip-level Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction Yan Lin 1, Yu Hu 1, Lei He 1 and Vijay Raghunat 2 Electrical Engineering Dept., UCLA, Los Angeles, CA 1 Purdue

More information

TITLE: FPGA Based Low Power Optimal Digital System Design

TITLE: FPGA Based Low Power Optimal Digital System Design Research Plan For Ph. D. Programme 2009-10 TITLE: FPGA Based Low Power Optimal Digital System Design DEPARTMENT OF ELECTRONICS & COMMUNICATION FACULTY OF ENGINEERING & TECHNOLOGY Submitted by: Name: Naresh

More information

Variation Aware Routing for Three-Dimensional FPGAs

Variation Aware Routing for Three-Dimensional FPGAs Variation Aware Routing for Three-Dimensional FPGAs Chen Dong, Scott Chilstedt, and Deming Chen Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign {cdong3, chilste1,

More information

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose Right Track CAD Corp. #313-72 Spadina Ave. Toronto, ON, Canada M5S 2T9 {arm, vaughn,

More information

Device And Architecture Co-Optimization for FPGA Power Reduction *

Device And Architecture Co-Optimization for FPGA Power Reduction * 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction * Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

Fast FPGA Routing Approach Using Stochestic Architecture

Fast FPGA Routing Approach Using Stochestic Architecture . Fast FPGA Routing Approach Using Stochestic Architecture MITESH GURJAR 1, NAYAN PATEL 2 1 M.E. Student, VLSI and Embedded System Design, GTU PG School, Ahmedabad, Gujarat, India. 2 Professor, Sabar Institute

More information

Reducing Power in an FPGA via Computer-Aided Design

Reducing Power in an FPGA via Computer-Aided Design Reducing Power in an FPGA via Computer-Aided Design Steve Wilton University of British Columbia Power Reduction via CAD How to reduce power dissipation in an FPGA: - Create power-aware CAD tools - Create

More information

3. G. G. Lemieux and S. D. Brown, ëa detailed router for allocating wire segments

3. G. G. Lemieux and S. D. Brown, ëa detailed router for allocating wire segments . Xilinx, Inc., The Programmable Logic Data Book, 99.. G. G. Lemieux and S. D. Brown, ëa detailed router for allocating wire segments in æeld-programmable gate arrays," in Proceedings of the ACM Physical

More information

Exploring Logic Block Granularity for Regular Fabrics

Exploring Logic Block Granularity for Regular Fabrics 1530-1591/04 $20.00 (c) 2004 IEEE Exploring Logic Block Granularity for Regular Fabrics A. Koorapaty, V. Kheterpal, P. Gopalakrishnan, M. Fu, L. Pileggi {aneeshk, vkheterp, pgopalak, mfu, pileggi}@ece.cmu.edu

More information

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric. SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, 2007 1 A Low-Power Field-Programmable Gate Array Routing Fabric Mingjie Lin Abbas El Gamal Abstract This paper describes a new FPGA

More information

Designing Heterogeneous FPGAs with Multiple SBs *

Designing Heterogeneous FPGAs with Multiple SBs * Designing Heterogeneous FPGAs with Multiple SBs * K. Siozios, S. Mamagkakis, D. Soudris, and A. Thanailakis VLSI Design and Testing Center, Department of Electrical and Computer Engineering, Democritus

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

Performance Improvement and Size Reduction Scheme over Circuits by Using LUT/MUX Architecture

Performance Improvement and Size Reduction Scheme over Circuits by Using LUT/MUX Architecture Performance Improvement and Size Reduction Scheme over Circuits by Using LUT/MUX Architecture R. Pradeepa 1, S.P. Senthil Kumar 2 M.E. VLSI Design, Shanmuganathan Engineering College, Arasampatti, Pudukkottai-622507,

More information

Testability Design for Sleep Convention Logic

Testability Design for Sleep Convention Logic Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 561-566 Research India Publications http://www.ripublication.com Testability Design for Sleep Convention

More information

ON THE INTERACTION BETWEEN POWER-AWARE FPGA CAD ALGORITHMS

ON THE INTERACTION BETWEEN POWER-AWARE FPGA CAD ALGORITHMS ON THE INTERACTION BETWEEN POWER-AWARE FPGA CAD ALGORITHMS ABSTRACT As Field-Programmable Gate Array (FPGA) power consumption continues to increase, lower power FPGA circuitry, architectures, and Computer-Aided

More information

Reducing Leakage Energy in FPGAs Using Region-Constrained Placement

Reducing Leakage Energy in FPGAs Using Region-Constrained Placement Reducing Leakage Energy in FPGAs Using Region-Constrained Placement A. Gayasen, Y. Tsai, N. Vijaykrishnan, M. Kandemir, M.J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs Vaughn Betz Jonathan Rose Alexander Marquardt

More information

Timing-Driven Placement for FPGAs

Timing-Driven Placement for FPGAs Timing-Driven Placement for FPGAs Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose 1 {arm, vaughn, jayar}@rtrack.com Right Track CAD Corp., Dept. of Electrical and Computer Engineering, 720

More information

Cluster-Based Architecture, Timing-Driven Packing and Timing-Driven Placement for FPGAs

Cluster-Based Architecture, Timing-Driven Packing and Timing-Driven Placement for FPGAs Cluster-Based Architecture, Timing-Driven Packing and Timing-Driven Placement for FPGAs by Alexander R. Marquardt A thesis submitted in conformity with the requirements for the degree of Master of Applied

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Effects of FPGA Architecture on FPGA Routing

Effects of FPGA Architecture on FPGA Routing Effects of FPGA Architecture on FPGA Routing Stephen Trimberger Xilinx, Inc. 2100 Logic Drive San Jose, CA 95124 USA steve@xilinx.com Abstract Although many traditional Mask Programmed Gate Array (MPGA)

More information

Buffer Design and Assignment for Structured ASIC *

Buffer Design and Assignment for Structured ASIC * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 30, 107-124 (2014) Buffer Design and Assignment for Structured ASIC * Department of Computer Science and Engineering Yuan Ze University Chungli, 320 Taiwan

More information

Design and Implementation of FPGA Logic Architectures using Hybrid LUT/Multiplexer

Design and Implementation of FPGA Logic Architectures using Hybrid LUT/Multiplexer Design and Implementation of FPGA Logic Architectures using Hybrid LUT/Multiplexer Krosuri Rajyalakshmi 1 J.Narashima Rao 2 rajyalakshmi.krosuri@gmail.com 1 jnarasimharao09@gmail.com 2 1 PG Scholar, VLSI,

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Run-time power and performance scaling in 28 nm FPGAs

Run-time power and performance scaling in 28 nm FPGAs Published in IET Computers & Digital Techniques Received on 16th August 2013 Revised on 6th December 2013 Accepted on 14th January 2014 ISSN 1751-8601 Run-time power and performance scaling in 28 nm FPGAs

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

FPGA Clock Network Architecture: Flexibility vs. Area and Power

FPGA Clock Network Architecture: Flexibility vs. Area and Power FPGA Clock Network Architecture: Flexibility vs. Area and Power Julien Lamoureux and Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors RPack: Rability-Driven packing for cluster-based FPGAs E. Bozorgzadeh S. Ogrenci-Memik M. Sarrafzadeh Computer Science Department Department ofece Computer Science Department UCLA Northwestern University

More information

Congestion-Driven Regional Re-clustering for Low-Cost FPGAs

Congestion-Driven Regional Re-clustering for Low-Cost FPGAs Congestion-Driven Regional Re-clustering for Low-Cost FPGAs Darius Chiu, Guy G.F. Lemieux, Steve Wilton Electrical and Computer Engineering, University of British Columbia British Columbia, Canada dariusc@ece.ubc.ca

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA A Path Based Algorithm for Timing Driven Logic Replication in FPGA By Giancarlo Beraudo B.S., Politecnico di Torino, Torino, 2001 THESIS Submitted as partial fulfillment of the requirements for the degree

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Energy proportional computing in Commercial FPGAs with Adaptive Voltage Scaling ABSTRACT 2. RELATED WORK 1. INTRODUCTION

Energy proportional computing in Commercial FPGAs with Adaptive Voltage Scaling ABSTRACT 2. RELATED WORK 1. INTRODUCTION Energy proportional computing in Commercial FPGAs with Adaptive Voltage Scaling Jose Nunez-Yanez Department of Electrical and Electronic Engineering University of Bristol, UK +44 117 3315128 j.l.nunez-yanez@bristol.ac.uk

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

Rajarshi Mukherjee and Seda Ogrenci Memik {rajarsh,

Rajarshi Mukherjee and Seda Ogrenci Memik {rajarsh, 1 Realizing Low Power FPGAs: A Design Partitioning Algorithm for Voltage Scaling and A Comparative Evaluation of Voltage Scaling Techniques for FPGAs ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, NORTWESTERN

More information

Using Sparse Crossbars within LUT Clusters

Using Sparse Crossbars within LUT Clusters Using Sparse Crossbars within LUT Clusters Guy Lemieux Dept. of Electrical and Computer Engineering University of Toronto Toronto, Ontario, Canada M5S 3G4 lemieux@eecg.toronto.edu David Lewis Dept. of

More information

The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays

The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays Steven J.E. Wilton 1, Su-Shin Ang 2 and Wayne Luk 2 1 Dept. of Electrical and Computer Eng. University of British Columbia

More information

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas 1 RTL Design Flow HDL RTL Synthesis Manual Design Module Generators Library netlist

More information

mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration

mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration Jason Cong Bingjun Xiao Department of Computer Science University of California, Los Angeles {cong, xiao}@cs.ucla.edu Abstract In

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

DESIGN AND IMPLEMENTATION OF HYBRID LUT/MULTIPLEXER FPGA LOGIC ARCHITECTURES

DESIGN AND IMPLEMENTATION OF HYBRID LUT/MULTIPLEXER FPGA LOGIC ARCHITECTURES DESIGN AND IMPLEMENTATION OF HYBRID LUT/MULTIPLEXER FPGA LOGIC ARCHITECTURES Vutukuri Syam Kumar 1 Rambabu Kusuma 2 MJRN Prasad 3 syam.kumar875@gmail.com 1 ksrk73@gmail.com 2 prasad_mjm@yahoo.co.in 1 PG

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Using Bus-Based Connections to Improve Field-Programmable Gate Array Density for Implementing Datapath Circuits

Using Bus-Based Connections to Improve Field-Programmable Gate Array Density for Implementing Datapath Circuits Using Bus-Based Connections to Improve Field-Programmable Gate Array Density for Implementing Datapath Circuits Andy Ye and Jonathan Rose The Edward S. Rogers Sr. Department of Electrical and Computer

More information

Non Uniform On Chip Power Delivery Network Synthesis Methodology

Non Uniform On Chip Power Delivery Network Synthesis Methodology Non Uniform On Chip Power Delivery Network Synthesis Methodology Patrick Benediktsson Institution of Telecomunications, University of Lisbon, Portugal Jon A. Flandrin Institution of Telecomunications,

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Challenges of FPGA Physical Design

Challenges of FPGA Physical Design Challenges of FPGA Physical Design Larry McMurchie 1 and Jovanka Ciric Vujkovic 2 1 Principal Engineer, Solutions Group, Synopsys, Inc., Mountain View, CA, USA 2 R&D Manager, Solutions Group, Synopsys,

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures

Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC, Canada, V6T

More information

Embedded Programmable Logic Core Enhancements for System Bus Interfaces

Embedded Programmable Logic Core Enhancements for System Bus Interfaces Embedded Programmable Logic Core Enhancements for System Bus Interfaces Bradley R. Quinton, Steven J.E. Wilton Dept. of Electrical and Computer Engineering University of British Columbia {bradq,stevew}@ece.ubc.ca

More information

Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures

Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures by Daniele G Paladino A thesis submitted in conformity with the requirements for the degree of Master of Applied

More information

TROUTE: A Reconfigurability-aware FPGA Router

TROUTE: A Reconfigurability-aware FPGA Router TROUTE: A Reconfigurability-aware FPGA Router Karel Bruneel and Dirk Stroobandt Hardware and Embedded Systems Group, ELIS Dept., Ghent University, Sint-Pietersnieuwstraat 4, B-9000 Gent, Belgium {karel.bruneel;dirk.stroobandt}@ugent.be

More information

An automatic tool flow for the combined implementation of multi-mode circuits

An automatic tool flow for the combined implementation of multi-mode circuits An automatic tool flow for the combined implementation of multi-mode circuits Brahim Al Farisi, Karel Bruneel, João M. P. Cardoso and Dirk Stroobandt Ghent University, ELIS Department Sint-Pietersnieuwstraat

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

A Routing Approach to Reduce Glitches in Low Power FPGAs

A Routing Approach to Reduce Glitches in Low Power FPGAs A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin Wong Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign This research

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

CELL-BASED design technology has dominated

CELL-BASED design technology has dominated 16 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 6, NO., FEBRUARY 007 Performance Benefits of Monolithically Stacked 3-D FPGA Mingjie Lin, Student Member, IEEE, Abbas

More information

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Optimize Parity Encoding for Power Reduction in Content Addressable Memory Nisha Sharma, Manmeet Kaur 1 Research Scholar, Chandigarh

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Multi-Voltage Domain Clock Mesh Design

Multi-Voltage Domain Clock Mesh Design Multi-Voltage Domain Clock Mesh Design Can Sitik Electrical and Computer Engineering Drexel University Philadelphia, PA, 19104 USA E-mail: as3577@drexel.edu Baris Taskin Electrical and Computer Engineering

More information