QWaveSystems / Melon_S3_FPGA

Size: px
Start display at page:

Download "QWaveSystems / Melon_S3_FPGA"

Transcription

1 QWaveSystems / Melon_S3_FPGA A Open-source Hardware : WiFi (ESP8266) FPGA Development Kit Edit Add topics 201 commits 1 branch 0 releases 1 contributor Branch: master New pull request Create new file Upload files Find file Clone or download pamornthep Update README.md Latest commit d83888f 2 hours ago Bit_File Update 6 months ago Example_LabVIEW First Commit 6 months ago Example_LabVIEW_RaspberryPi_LINX First Commit 6 months ago Example_VHDL First Commit 6 months ago Firmware. 6 months ago Images First Commit 6 months ago Melon-S3_LabVIEW_Driver. 25 days ago PDF First Commit 6 months ago Schematic_PCB. 6 months ago UCF File Update 6 months ago.gitignore Initial commit 11 months ago README.md Update README.md 2 hours ago 1/48

2 README.md Melon S3 FPGA Development Board Hardware User Manual In order to support our work please consider supporting us by donation or purchase the dev kit board. How to get a development board. Community Support Forums Table of Contents Introduction Specification System Block Diagram Board Overview ESP-PROG (TX/RX) Jumper Setting Pin Definition Getting Started 2/48

3 OTA Programming (Upload.bit file to flash memory over the WiFi) Write your own ESP8266 firmware. FPGA Development using Xilinx ISE Webpack Install LabVIEW FPGA Driver LabVIEW FPGA: Demo (Debug Mode over WiFi) LabVIEW FPGA: Create a build specification to build a.bit file LabVIEW FPGA: Additional Tools LabVIEW FPGA: Export.bit file LabVIEW FPGA: Generate Control define for Arduino LabVIEW FPGA: Host-Target Architecture: (Arduino MCU) <-> (FPGA) LabVIEW FPGA: Host-Target Architecture: (Raspberry Pi CPU) <-> (FPGA) Mechanical Specification Download Board design files License Maintainer Introduction The Melon S3 FPGA is an open-source hardware (OSHW), expandable development board perfect for the learning digital circuit design and prototyping of your unique ideas. You can customize the capabilities of the FPGA with snap-on 40-pin "Raspberry Pi HAT". There are serveral shilds in the market offers a low cost module that can be purchased off the shelf. These shiled modules can be plugged directly to the Melon S3 FPGA board for the creation of powerful embedded and digital system applications. 3/48

4 Specification OTA (Over-The-Air) Download.bit file to FPGA over the WiFi Xilinx Spartan 3E FPGA (PQG208) - 500K gates, (73Kb Distributed RAM, 4 Digital Clock Manager (DCM), 20 Multipliers (18x18), 360 Kb Block RAM) Onboard USB-UART (Silicon Labs) CP2104 for Configuration, Debugging and Power. MCU WROOM-02 (ESP8266), WiFi 2.4GHz + 32-bit MCU (Arduino Compatible) Clock 80MHz, 50KB RAM, Integrated TCP/IP protocol stack. Flash 4MB SPI Flash which is 1MB for MCU Firmware and 3MB for FPGA Bit space. 4/48

5 8 Users LEDs, 4 DIP Switch user button, 1 Reset button Onboard FPGA clock 50MHz GPIOs 56 PINs 3.3V Tolerant - 40 PINs x2 (Raspberry Pi 40 PINs Compatible) JTAG Port (*Optional for Program/Debugging) Back to top System Block Diagram 5/48

6 In addition, The Melon S3 FPGA can be programmed using established development tools, such as Xilinx ISE (Free: Webpack), MATLAB HDL Coder/HDL Verifier and National Instruments LabVIEW FPGA Toolkit. Lastly, MCU ESP8266 (WROOM-02) can be programmed using Arduino IDE. Back to top Board Overview Front Side 6/48

7 Position Reference Description 1 JTAG Port Optional for Programing & Debuging using Xilinx ISE Software 2 FPGA Xilinx FPGA Spartan 3E 500K 3 LEDs x8 LED (Green) 4 DIP SWs x4 DIP Switches 5 Pwr LED 3.3V Power LED (Red) 7/48

8 Position Reference Description 6 XSTOP Jumper FPGA code will STOP if Jumper added (Size 2.5"), Using this jumper as a FPGA stop pin (No jumper by default) 7 Status LED User define LED (Green) at GPIO15 of ESP MCU WiFi SoC (ESP8266) Flash 4MB Version 9 Oscilator ABRACON 50 MHz onboard oscilator (50ppm) 10 LED1 User define LED (Green) at GPIO2 of ESP8266, This LED will be flashing during upload firmware to ESP Reset Btn Reset Button for ESP TX/RX Jumper TX/RX Jumper of ESP8266 and USB CP2104 (Size 2.0"), Refer Jumper setting section 13 USB IC CP2104 UART to USB IC 14 USB LED USB Plug-in LED Status (VUSB) 15 Done LED FPGA Status LED if.bit file downloaded to FPGA without error 16 VIN 5V External Power supply +5V can powered the board from this pin 17 TX/RX LEDs TX/RX LED Status of USB IC (CP2104) 18 USB Connector USB Connector for +5V input for powered the board or Debug/Programming port for ESP8266 Back to top Bottom Side (Solder pad jumper for the users are willing to use with Raspberry Pi Shileds) 8/48

9 Position Reference Description 1 2 (JP2) RPi 3.3 V Jumper HSWAP Jumper Solder pad Jumper is "Open" by Default, But once the Melon FPGA and Raspberry Pi Shields stacked together at P1 Port, You can powered RPi Shileds board at 3.3V P1:1,P1:17 (3V3_RP) by using the power VUSB (5V) or VIN (5V) from Melon FPGA board, by setting the Jumper "Closed (Soldered)", Refer schematic for more information Set the default state of FPGA Pins, Solder pad jumper is "Open"= Pull-down (by Default), Set the jumper pad to "Closed, (Soldered)"= All the FPGA pins are Pull-Up. 9/48

10 Position Reference Description 3 (JP1) Rpi 5V Jumper Solder pad Jumper is "Open" by Default, But once the Melon FPGA and Raspberry Pi Shields are stacked together at P1 Port, if you want to powered RPi Shields P1:2,P2:4 (5V_RP) by using the power VUSB (5V) or VIN (5V) from Melon FPGA board, by setting the Jumper "Closed (Soldered)", Refer schematic for more information Back to top TX/RX Jumper Setting "ESP-PROGRAM" TX/RX Jumper is set to "Open" by Default, In order to re-program ESP8266 firmware, The both jumpers (TX/RX) need to be inserted (Closed). USB TX/RX and ESP TX/RX pins also routed to FPGA refer the diagram below, You can writed the FPGA code to set jumper internally. In this case you can re-program the ESP8266 firmware without any jumpers. 10/48

11 Back to top Pin Definition 11/48

12 Port1 FPGA Pin Number (Pxx) vs Connector Pins (RPi shileds can be connected to this port) Description Pin Number Pin Number Description +3V3_RP Using with RPi shields need to set solder pad jumper at bottom side V_RP Using with RPi shields need to set solder pad jumper at bottom side 12/48

13 Description Pin Number Pin Number Description P V_RP Using with RPi shields need to set solder pad jumper at bottom side P Ground P P47 Ground 9 10 P42 P P40 P Ground P P35 +3V3_RP Using with RPi shields need to set solder pad jumper at bottom side P34 P Ground P P30 P P28 Ground P25 P P23 P Ground P P18 P Ground 13/48

14 Description Pin Number Pin Number Description P P12 P P9 Ground P8 Port 1 : The FPGA pin constraints (.ucf) for Xilinx ISE and FPGA IO for LabVIEW aslo provide. 14/48

15 Back to top Port2 FPGA Pin Number (Pxx) vs Connector Pins Description Pin Number Pin Number Description +3V V 15/48

16 Description Pin Number Pin Number Description P V P Ground P P151 Ground 9 10 P147 P P145 P Ground P P140 +3V P138 P Ground P P132 P P128 Ground P127 P P123 P Ground P P119 P Ground P P113 P P /48

17 Description Pin Number Pin Number Description Ground P108 Port 2 : The FPGA pin constraints (.ucf) for Xilinx ISE and FPGA IO for LabVIEW aslo provide. Back to top Getting Started : Starting the board for the first time 17/48

18 Plug-in the USB cable to the USB computer port to powered the board, You will get the 8-bit counter (up) at onboard LEDs with speed around 100ms. Debugging the data at COM port, Put the "ESP-PROG" both jumper to the "Closed" position, 18/48

19 Open the Serial Monitor software > Select COM port > Set the buad rate to "115200",Then pressed the "RESET" button on the board. This is what you will get from serial monitor. Right now the board is act like WiFi Access Point name "Melon-xxxxx", Re-scanning the WiFi, its will be appear on the network. 19/48

20 Connect to the "Melon-xxxxx" using the default password " " After that open the web browser, Then goto main webpage " " Back to top There are several command that already created for you to working with File Systems over the web browser. It is written using Arduino C/C++,Refer to shipped firmware source code in this repository ("Firmware" folder)../files (List the files inside flash memory)./startup (Set the config file to load FPGA code at startup)./unstartup (Unset the config file to load FPGA code at startup)./delete?file=[file Name] (For example : Name] To view or download the file (For example : For example we will try to lists the files that currently stored in flash memory. Type : " You will see there are serveral files, The important one is "Fpga.bit" file, It's a FPGA bit file that currently running on the board right now. 20/48

21 OTA Programming (Upload.bit file to flash memory over the WiFi) Download the.bit file from "Bit_File/Fpga.bit" from this repository. Save it in your local computer. (It is a.bit file of 8-bit counter circuit that shipped with the board) Click the "Choose File", > Select the "Fpga.bit". > Click "Update", The bit file will be transfer over the WiFi then upload diretly to flash memory, (You will get status "Update Success!", If it not please try again), After that board it will reset once, Finally you will see the FPGA start-up with the 8-bit counter circuit again. Back to top 21/48

22 Write your own ESP8266 firmware. (1/2) Install Arduino QWAVE ESP8266 Board Package Download a Arduino IDE 1.8.x from " Goto "File > Preferences", Then add " > Click OK 22/48

23 Goto "Tools > Board> Boards Manager ", Install "Embedded LabVIEW Arduino by Qwavesys" 23/48

24 Once the installation finished, You can find the "Qwave ESP8266 (LabVIEW)" board. Back to top (2/2) Start Writing your own ESP8266 firmware. The shipped Melon S3 MCU firmware is located at "Firmware/Firmware-MelonS3-v.0.3.ino" from this repository, Open it with Arduino IDE. 24/48

25 Let's modify the WiFi AP name to "Melon-[Your Name]" to indicate that this is your board in the WiFi network, You can change default password, if you want to.. Back to top In order to program ESP8266, The jumper setting must be in the right position,. In this case I will leave both jumpers opened (Removed), Because FPGA shipped example bit file is already routed ESP TX/EX <----> USB TX/RX inside FPGA chip. Refer jumber setting section. TX/RX Jumper Setting Connect the board to development PC, Select "Qwave ESP8266 (LabVIEW)" board, Speed "921600" (Test with Max Speed,If it not working try reduce the speed down to ), Select COM port. 25/48

26 26/48

27 FPGA Development using Xilinx ISE Webpack ISE WebPACK design software is the industry s only FREE, fully featured front-to-back FPGA design solution. ISE WebPACK is the ideal downloadable solution for FPGA and offering HDL synthesis and simulation, implementation, device fitting, and JTAG programming. ISE WebPACK delivers a complete, front-to-back design flow providing instant access to the ISE features and functionality at no cost. Xilinx has created a solution that allows convenient productivity by providing a design solution that is always up to date with error-free downloading and single file installation. After generated.bit file you can then upload it to the Melon S3 FPGA via WiFi. The shipped example for Xilinx ISE VHDL project is located at "Example_VHDL/Test_LED.zip" from this repository, Open it with Xilinx ISE. Refer the setting below Spartan3E, XC3S500E, PQ208, Speed Grade (-4) 27/48

28 At the LED_SW.vhd, it is very simple VHDL example the reading the status of DIP switch and display at onboard LEDs. 28/48

29 The Melon_S3.ucf files also provide for pin constraints. 29/48

30 After run Synthesize > Translate > Place &Route > Generate bit file, Then you will get led_sw.bit, Please rename to "Fpga.bit", Then you can use OTA Programming (Upload.bit file to flash memory over the WiFi) method to download a bit file to flash memory and run at FPGA. Back to top Install Melon S3 FPGA : LabVIEW Driver The NI LabVIEW FPGA Module extends the LabVIEW graphical development platform to target FPGAs. LabVIEW FPGA gives developers the ability to more efficiently and effectively design complex systems by providing a highly integrated development environment, a large ecosystem of IP libraries, a high-fidelity simulator, and debugging features. Before install LabVIEW driver, Please close all LabVIEW application. In this tutorial is assumed that you already have LabVIEW 2014 (32bit) & LabVIEW 2014 FPGA Toolkit (For Windows) installed in local machine. Extract the folder QwaveSys to path. "C:\Program Files (x86)\national Instruments\LabVIEW 2014\Targets\NI\FPGA\QwaveSys" 30/48

31 Extract the folder "MelonS3" to path. "C:\Program Files (x86)\national Instruments\LabVIEW 2014\vi.lib\FPGAPlugInAG\Melon-S3" At Windows Dev Machine: Copy "QwaveFpgaLv.dll" to systems path. "C:\Windows\System32\QwaveFpgaLv.dll" Remove "resource_cache.xml" the file below, Before staring LabVIEW ONLY for the first time use. "C:\Program Files (x86)\national Instruments\LabVIEW 2014\Targets\NI\FPGA\resource_cache.xml" Extract the folder "HDL" to path. "C:\Program Files (x86)\national Instruments\LabVIEW 2014\rvi\HDL" Start LabVIEW > Create LabVIEW Empty Project, Under "My Computer" Select "Target and Device, you will find "Melon-S3" under "3rd Party group" 31/48

32 32/48

33 Right click at "Melon-S3" select "New > FPGA I/O" you will find available IOs for Melon-S3 ready to use within the project. Add them all if you want. 33/48

34 Back to top LabVIEW FPGA Development: Demo (Debug Mode over WiFi) The shipped example for LabVIEW FPGA is located at "Example_LabVIEW/Test_Counter.zip" from this repository, Open the project (LED.lvproj) file with LabVIEW. Connect development PC with "Melon-S3-xx" WiFi AP. Refer to this step Getting Started Clcik Run button, Then observed the LabVIEW front panel and Onboard LEDs, Then you can adjust the Count(mSec) value to see the result in debugging mode. 34/48

35 Back to top LabVIEW FPGA Development: Create a build specification to generate a.bit file. Right Click at the VI (.vi) > Create Build Specification 35/48

36 Under Build Specification > Right Click > Select : Properties 36/48

37 Select Run when loaded to FPGA 37/48

38 Right Click then, Select Build 38/48

39 Select the Use the Local Compile server or Network/Cloud server. (NI LabVIEW 2014 FPGA Module Xilinx Compilation Tools for Windows is required for local compilation. After finished you will get the output bit file at "FPGA Bitfiles" folder. 39/48

40 Back to top LabVIEW FPGA Development: Additional Tools. Right Click at the VI you will get additional tools fo Melon S3 FPGA: Gen Bit File... (Generate a bit file from build specification) Download VI To Flash Memory (Download bit file to flash memory over WiFi, This will be done automatically.) Set FPGA Download As Startup (Set FPGA code at startup, This action will be set "startup=1" at config.json file) Unset FPGA Download As Startup (Unset FPGA code at startup, This action will be set "startup=0" at config.json file) Get Controls Define For Arduino (Lists all LabVIEW front panel/controls for Arduino interface, Refer more detail to the next topic) Reboot To view or download the file (This will send FPGA "reboot" command to the Melon FPGA board) 40/48

41 Back to top LabVIEW FPGA Development: Export.bit file. Select "Gen Bit File.." function, Then you will get Fpga.bit locaed at "FPGA Bitfiles" folder. 41/48

42 Back to top LabVIEW FPGA Development: Generate Control define for Arduino. Select "Get Controls Define For Arduino" function, Then you will get front panel control/indicator define to use in Arduino Code, Copy them and place at the top of Arduino C/C++ code. Refer next topic for example. 42/48

43 43/48

44 Back to top LabVIEW FPGA Development: Host-Target Architecture: (Arduino MCU) <-> (FPGA). Using Arduino IDE you can write your own firmware running in MCU (WROOM-02), This allows your FPGA designs to talk to the microcontroller, giving you develop the MCU + FPGA for co-processing application. 44/48

45 Back to top LabVIEW FPGA Development: Host-Target Architecture: (Raspberry Pi CPU) <-> (FPGA). 45/48

46 Back to top Mechanical Specification Download Board design files PDF Schematic & PCB Firmware (Arduino C/C++) Xilinx ISE support files /48

47 Xilinx ISE project examples LabVIEW FPGA (2014) Examples 8 bit Counter Example 8 bit Counter with Raspberry Pi Interface Back to top LICENSE -Schematics and PCB files is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License. You should have received a copy of the license along with this work. If not, see: -These Arduino C/C++ ESP8266 microcontroller libraries (Firmware) files are provided under the GNU General Public License Version 3 as found at -Melon S3 FPGA Driver for LabVIEW is licensed for Home/Education use ONLY (Non-Commercial purposes), Refer license agreement License Agreement Melon S3.txt Back to top Maintainers Amornthep Phunsin amornthep@qwavesys.com Back to top 47/48

48 48/48

Melon S3 FPGA Development Board Product Datasheet

Melon S3 FPGA Development Board Product Datasheet Melon S3 FPGA Development Board Product Datasheet The Melon S3 FPGA is open-source, expandable development board perfect for the learning digital circuit design and prototyping of your unique ideas. You

More information

ArduCAM CC3200 UNO board

ArduCAM CC3200 UNO board ArduCAM CC3200 UNO board User Guide Rev 1.2, Mar 2017 Table of Contents 1 Introduction... 2 2 Features... 3 3 Pin Definition... 4 4 Getting Started CC3200 with Energia... 5 4.1 Out of the Box Test... 5

More information

Circuit design with configurable devices (FPGA)

Circuit design with configurable devices (FPGA) 1 Material Circuit design with configurable devices (FPGA) Computer with Xilinx's ISE software installed. Digilent's Basys2 prototype board and documentation. Sample design files (lab kit). Files and documents

More information

ESPino - Specifications

ESPino - Specifications ESPino - Specifications Summary Microcontroller ESP8266 (32-bit RISC) WiFi 802.11 (station, access point, P2P) Operating Voltage 3.3V Input Voltage 4.4-15V Digital I/O Pins 9 Analog Input Pins 1 (10-bit

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

SP601 Standalone Applications

SP601 Standalone Applications SP601 Standalone Applications December 2009 Copyright 2009 Xilinx XTP053 Note: This presentation applies to the SP601 Overview Xilinx SP601 Board Software Requirements SP601 Setup Multi-pin Wake-up GPIO

More information

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM FRDM-KL02Z User Manual Rev. 0 Freescale Semiconductor Inc. FRDMKL02ZUM 1. Overview The Freescale Freedom development platform is an evaluation and development tool ideal for rapid prototyping of microcontroller-based

More information

Configuring the Xilinx Spartan-6 LX9 MicroBoard

Configuring the Xilinx Spartan-6 LX9 MicroBoard Configuring the Xilinx Spartan-6 LX9 MicroBoard Version 1.3 Table of Contents Table of Contents... 2 Table of Figures... 3 Revision History... 4 Overview... 5 Configuration and Programming via the on-board

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

Freeduino USB 1.0. Arduino Compatible Development Board Starter Guide. 1. Overview

Freeduino USB 1.0. Arduino Compatible Development Board Starter Guide. 1. Overview Freeduino USB 1.0 Arduino Compatible Development Board Starter Guide 1. Overview 1 Arduino is an open source embedded development platform consisting of a simple development board based on Atmel s AVR

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

Parallax WX ESP8266 Wi-Fi Modules

Parallax WX ESP8266 Wi-Fi Modules Web Site: www.parallax.com Office: (916) 624-8333 Forums: forums.parallax.com Fax: (916) 624-8003 Sales: sales@parallax.com Sales: (888) 512-1024 Technical: support@parallax.com Tech Support: (888) 997-8267

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme] QUT Digital Repository: http://eprints.qut.edu.au/ This is the author version published as: This is the accepted version of this article. To be published as : This is the author s version published as:

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader Spartan-6 LX9 MicroBoard Embedded Tutorial Lab 6 Creating a MicroBlaze SPI Flash Bootloader Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/11 Table

More information

UM QN908x Quick Start. Document information. QN908x, Quick Start, Development Kit, QN9080 DK, QN9080 Development Kit

UM QN908x Quick Start. Document information. QN908x, Quick Start, Development Kit, QN9080 DK, QN9080 Development Kit QN908x Quick Start Rev.2.0 21 March 2018 User manual Document information Info Keywords Abstract Content QN908x, Quick Start, Development Kit, QN9080 DK, QN9080 Development Kit This Quick Start document

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

VHDL introduction Notes

VHDL introduction Notes UH Hawaii Manoa 475 Electronics for physicists VHDL introduction Notes Author: Andrej Seljak Date: Fall 2016 update 1 Ver: 1.0 Table of Contents 1. FPGA description3 2. USB EVALUATION board 4 3. ISE Xilinx

More information

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010 Tutorial: ISE 12.2 and the Spartan3e Board v12.2.1 August 2010 This tutorial will show you how to: Use a combination of schematics and Verilog to specify a design Simulate that design Define pin constraints

More information

ESPWiFi Shield SHIELD ESP WIFI Rev2.0

ESPWiFi Shield SHIELD ESP WIFI Rev2.0 ESPWiFi Shield SHIELD ESP WIFI Rev2.0 User's Manual V2.0 April 2016 Created by Cytron Technologies Sdn. Bhd. All Right Reserved 1 Index 1. Introduction 3 2. Packing List 4 3. Board or Product Layout 5

More information

User Manual. ESP8266 Wifi Shield

User Manual. ESP8266 Wifi Shield User Manual Of ESP8266 Wifi Shield Description The shield is designed based on esp8266 by Espressif Systems, pin-compatible with Arduino UNO/Mega2560 DevBorad. Which can be used as two independent part,

More information

ARDUINO PRIMO. Code: A000135

ARDUINO PRIMO. Code: A000135 ARDUINO PRIMO Code: A000135 Primo combines the processing power from the Nordic nrf52 processor, an Espressif ESP8266 for WiFi, as well as several onboard sensors and a battery charger. The nrf52 includes

More information

Figure 1. Proper Method of Holding the ToolStick. Figure 2. Improper Method of Holding the ToolStick

Figure 1. Proper Method of Holding the ToolStick. Figure 2. Improper Method of Holding the ToolStick TOOLSTICK UNIVERSITY DAUGHTER CARD USER S GUIDE 1. Handling Recommendations To enable development, the ToolStick Base Adapter and daughter cards are distributed without any protective plastics. To prevent

More information

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Note: you will need the Xilinx ISE Webpack installed on your computer (or you

More information

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial Version 13.2.01 Revision History Version Description Date 13.2.01 Initial release with support for ISE 13.2 tools Aug. 10, 2011 Page 2 of 30

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version 13.2.01 Revision History Version Description Date 12.4.01 Initial release for EDK 12.4 09 Mar 2011 12.4.02

More information

DEV-1 HamStack Development Board

DEV-1 HamStack Development Board Sierra Radio Systems DEV-1 HamStack Development Board Reference Manual Version 1.0 Contents Introduction Hardware Compiler overview Program structure Code examples Sample projects For more information,

More information

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i This tutorial will show you how to: Use Verilog to specify a design Simulate that Verilog design Define pin constraints for the FPGA (.ucf

More information

ARDUINO YÚN MINI Code: A000108

ARDUINO YÚN MINI Code: A000108 ARDUINO YÚN MINI Code: A000108 The Arduino Yún Mini is a compact version of the Arduino YUN OVERVIEW: Arduino Yún Mini is a breadboard PCB developed with ATmega 32u4 MCU and QCA MIPS 24K SoC CPU operating

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

Typical applications where a CPLD may be the best design approach:

Typical applications where a CPLD may be the best design approach: By: Carlos Barberis, dba Bartek Technologies Description of Bartek s CPLD1 development board. For some of us CPLD s are familiar devices and for others just another acronym in the electronic device industry.

More information

FireBeetle ESP8266 IOT Microcontroller SKU: DFR0489

FireBeetle ESP8266 IOT Microcontroller SKU: DFR0489 FireBeetle ESP8266 IOT Microcontroller SKU: DFR0489 Introduction DFRobot FireBeetle is a series of low-power-consumption development hardware designed for Internet of Things (IoT). Firebeetle ESP8266 is

More information

Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide

Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide Rev 0; 8/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

ARDUINO INDUSTRIAL 1 01 Code: A000126

ARDUINO INDUSTRIAL 1 01 Code: A000126 ARDUINO INDUSTRIAL 1 01 Code: A000126 The Industrial 101 is a small form-factor YUN designed for product integration. OVERVIEW: Arduino Industrial 101 is an Evaluation board for Arduino 101 LGA module.

More information

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM FRDM-KL02Z User Manual Rev. 0 Freescale Semiconductor Inc. FRDMKL02ZUM 1. Overview The Freescale Freedom development platform is an evaluation and development tool ideal for rapid prototyping of microcontroller-based

More information

POWERLINK Slave Xilinx Getting Started User's Manual

POWERLINK Slave Xilinx Getting Started User's Manual POWERLINK Slave Xilinx Getting Started Version 0.01 (April 2012) Model No: PLALTGETST-ENG We reserve the right to change the content of this manual without prior notice. The information contained herein

More information

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears.

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears. Working with the GODIL Author: Ruud Baltissen Credits: Michael Randelzhofer, Ed Spittles Date: August 2010 What is it? This document describes a way to get familiar with the Xilinx FPGAs on OHO s Godil,

More information

XNUCLEO-F030R8, Improved STM32 NUCLEO Board

XNUCLEO-F030R8, Improved STM32 NUCLEO Board XNUCLEO-F030R8, Improved STM32 NUCLEO Board STM32 Development Board, Supports Arduino, Compatible with NUCLEO-F030R8 XNUCLEO-F030R8 Features Compatible with NUCLEO-F030R8, onboard Cortex-M0 microcontroller

More information

SiFive HiFive1 Getting Started Guide. c SiFive, Inc.

SiFive HiFive1 Getting Started Guide. c SiFive, Inc. SiFive HiFive1 Getting Started Guide c SiFive, Inc. January 3, 2017 2 SiFive HiFive1 Getting Started Guide 1.0.2 SiFive HiFive1Getting Started Guide Copyright Notice Copyright c 2017, SiFive Inc. All rights

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

ARDUINO YÚN Code: A000008

ARDUINO YÚN Code: A000008 ARDUINO YÚN Code: A000008 Arduino YÚN is the perfect board to use when designing connected devices and, more in general, Internet of Things projects. It combines the power of Linux with the ease of use

More information

User Manual For CP-JR ARM7 USB-LPC2148 / EXP

User Manual For CP-JR ARM7 USB-LPC2148 / EXP CP-JR ARM7 USB-LPC2148 / EXP 38 CR-JR ARM7 USB-LPC2148 which is a Board Microcontroller ARM7TDMI-S Core uses Microcontroller 16/32-Bit 64 Pin as Low Power type to be a permanent MCU on board and uses MCU

More information

Microbee Technology FTM-3SE

Microbee Technology FTM-3SE Microbee Technology FTM-3SE Freescale Tower System Compatible Field Programmable Gate Array Module TWR-K70 Demo Quick Start Guide The flexibility that programmable logic brings to hardware design has now

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

Revision: February 19, E Main Suite D Pullman, WA (509) Voice and Fax. Switching Power Supplies 3V3 1V2 2V5 1V8

Revision: February 19, E Main Suite D Pullman, WA (509) Voice and Fax. Switching Power Supplies 3V3 1V2 2V5 1V8 Nexys Board Reference Manual Revision: February 19, 2007 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview s Nexys circuit board is an integrated circuit development platform based

More information

Clicker 2 for Kinetis

Clicker 2 for Kinetis Page 1 of 6 Clicker 2 for Kinetis From MikroElektonika Documentation clicker 2 for Kinetis is a compact dev. kit with two mikrobus sockets for click board connectivity. You can use it to quickly build

More information

Spartan-II Demo Board User s Guide

Spartan-II Demo Board User s Guide Spartan-II Demo Board User s Guide Version.2 May 200 Overview The Spartan-II Demo Board is a low cost evaluation platform for testing and verifying designs based on the Xilinx Spartan-II family of FPGA

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

BCM4343W IoT Starter Kit Getting Started Guide Version 2.1

BCM4343W IoT Starter Kit Getting Started Guide Version 2.1 BCM4343W IoT Starter Kit Getting Started Guide Version 2.1 Page 1 Copyright 2017 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property

More information

Cookie User Manual. For NuMicro Edition 1.0. Rev. 1.0 Release: forum.coocox.org.

Cookie User Manual. For NuMicro Edition 1.0. Rev. 1.0 Release: forum.coocox.org. Cookie User Manual For NuMicro Edition 1.0 Rev. 1.0 Release: 2012-08-09 Website: Forum: Techinal: Market: www.coocox.org forum.coocox.org master@coocox.com market@coocox.com 1 Introduction Cookie is an

More information

Husarion CORE2. Husarion CORE2 is a development board for Internet-connected automation & robotic devices.

Husarion CORE2. Husarion CORE2 is a development board for Internet-connected automation & robotic devices. Husarion CORE2 Husarion CORE2 is a development board for Internet-connected automation & robotic devices. CORE2 Development board for Internet connected automation & robotic devices. compatible with Husarion

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

Anadigm FPAA Solutions Training Class III

Anadigm FPAA Solutions Training Class III Anadigm FPAA Solutions Training Class III Agenda Learning Goals Evaluation board components Board architecture Power connection COM connection Output connection Development board Verify proper connection

More information

Manual of Board ET-PIC STAMP 18F8722-K22 ET-PIC STAMP 18F8722-K22

Manual of Board ET-PIC STAMP 18F8722-K22 ET-PIC STAMP 18F8722-K22 ET-PIC STAMP 18F8722-K22 ET-PIC STAMP 18F8722-K22 is Board Microcontroller in a series of PIC18F87K22 80-Pin TQFP from Microchip. It designs I/O of MCU on board to interface with CONNECTOR in the format

More information

Creator Ci40 product brief

Creator Ci40 product brief Creator Ci40 is a high-performance, low-power IoT hub that packs Ethernet, Wi-Fi, 802.11b/g/n/ac, Bluetooth Classic and Low Energy and an 802.15.4 radio onto a powerful IoT gateway with expansion ports

More information

FPGA Development Board For Applications in Cosmic Rays Physics

FPGA Development Board For Applications in Cosmic Rays Physics Faculty of Mathematics & Natural Science FMNS 2013 FPGA Development Board For Applications in Cosmic Rays Physics Ivo Angelov 1, Svetla Dimitrova 2, Krasimir Damov 1 1 - South West University Neofit Rilski

More information

mbed Kit User Guide of NQ62x daughter board

mbed Kit User Guide of NQ62x daughter board mbed Kit User Guide of NQ62x daughter board mbed Kit User Guide Sheet 1 of 10 Nov 17, 2016 Index: 1. Introduction... 4 1.1 Minimum Requirements... 4 2. Kit Content... 4 2.1 DELTA DFXE-SM001 mbed kit hardware

More information

Pg 3. Specifications. Hardware Required

Pg 3. Specifications. Hardware Required 1 NodeMCU Dev Board is based on widely explored esp8266 System on Chip from Express if. It combined features of WIFI access point and station + microcontroller and uses simple LUA based programming language.

More information

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 1 Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx ISE 8.1i Project Navigator: Select File > New Project in the opened window 2 Select a

More information

White Rabbit Module for NI CompactRIO Daniel Florin and David Wolf Physik Institut / Universität Zürich

White Rabbit Module for NI CompactRIO Daniel Florin and David Wolf Physik Institut / Universität Zürich White Rabbit Module for NI CompactRIO Daniel Florin and David Wolf Physik Institut / Universität Zürich Eighth White Rabbit Workshop, Geneva (Switzerland), 6-7 October 2014 Overview CompactRIO White Rabbit

More information

8051 Intermidiate Development Board. Product Manual. Contents. 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help

8051 Intermidiate Development Board. Product Manual. Contents. 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help 8051 Intermidiate Development Board Product Manual Contents 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help 1. Overview 2. Features The board is built on a high quality FR-4(1.6

More information

Objective: Additional project details: Code: PSEMBP 100 Category:STEM Level: High School/Community C.

Objective: Additional project details: Code: PSEMBP 100 Category:STEM Level: High School/Community C. Objective: At the end of this session, you will have a fair understanding of - Z8 Encore! language tools including C compiler, assembler, linker/locator, and librarian - Instruction set simulator and disassembler

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

Arduino Dock 2. The Hardware

Arduino Dock 2. The Hardware Arduino Dock 2 The Arduino Dock 2 is our supercharged version of an Arduino Uno R3 board. These two boards share the same microcontroller, the ATmel ATmega328P microcontroller (MCU), and have identical

More information

ORION USB3 Evaluation Kit

ORION USB3 Evaluation Kit ORION USB3 Evaluation Kit Table of Contents 1 General Description...4 2 System Overview...5 3 Operating Instructions...7 3.1 Recommended Equipment...7 3.2 Resolution / Fame rate and ADC gain settings...7

More information

Universal VLSI Protoboard

Universal VLSI Protoboard Universal VLSI Protoboard ni logic Pvt. Ltd., 25/B5, Bandal Complex, Paud Road, Kothrud, Pune 411 038. Maharashtra. Tele-Fax: + 91-20 - 2528 6948 info@ni2designs.com www.ni2designs.com Universal VLSI Protoboard

More information

Guide for user design. Version:V1.0 Date: Application Note. Introduction

Guide for user design. Version:V1.0 Date: Application Note. Introduction SPI Application Note Guide for user design Version:V1.0 Date:2016-3-30 Application Note Introduction This note lists the matters need attention in each stage of designing and manufacturing while using

More information

SSD1963 EVK Rev3B User s Guide

SSD1963 EVK Rev3B User s Guide SSD1963 EVK Rev3B User s Guide TechToys Company Unit 1807, Pacific Plaza, 410 Des Voeux Road West, Hong Kong Tel: 852-28576267 Fax: 852-28576216 Web site: www.techtoys.com.hk Version 1.0a Page 1 Table

More information

Hardware Short Test. CompactRIO White Rabbit Module. Document Version

Hardware Short Test. CompactRIO White Rabbit Module. Document Version CompactRIO White Rabbit Module Hardware Short Test Document Version 1.01 19.01.2015 Universität Zürich, Physik Institut, Winterthurerstrasse 190, 8057 Zürich, Schweiz Daniel Florin florin@physik.uzh.ch

More information

Digilab 2E Reference Manual

Digilab 2E Reference Manual Digilent 2E System Board Reference Manual www.digilentinc.com Revision: February 8, 2005 246 East Main Pullman, WA 99163 (509) 334 6306 Voice and Fax Digilab 2E Reference Manual Overview The Digilab 2E

More information

FPGA RGB Matrix. Created by lady ada. Last updated on :15:42 PM UTC

FPGA RGB Matrix. Created by lady ada. Last updated on :15:42 PM UTC FPGA RGB Matrix Created by lady ada Last updated on 2017-12-27 09:15:42 PM UTC Guide Contents Guide Contents Overview Controlling the Adafruit 32x16 RGB LED Matrix with a DE0-Nano FPGA Board Prerequisites

More information

Alameda (MAXREFDES24#) ZedBoard Quick Start Guide

Alameda (MAXREFDES24#) ZedBoard Quick Start Guide Alameda (MAXREFDES24#) ZedBoard Quick Start Guide Rev 0; 3/14 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

8051 Basic Development Board. Product Manual. Contents. 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help

8051 Basic Development Board. Product Manual. Contents. 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help 8051 Basic Development Board Product Manual Contents 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help 1. Overview 2. Features The board is built on a high quality FR-4(1.6

More information

OLED Engineering Kits User Manual

OLED Engineering Kits User Manual OLED Engineering Kits User Manual Revision C Firmware Version 1.X NKK SWITCHES 7850 E. Gelding Drive Scottsdale, AZ 85260 Toll Free 1-877-2BUYNKK (877-228-9655) Phone 480-991-0942 Fax 480-998-1435 e-mail

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

NEXYS4DRR board tutorial

NEXYS4DRR board tutorial NEXYS4DRR board tutorial (VHDL Decoder design using Vivado 2015.1) Note: you will need the Xilinx Vivado Webpack version installed on your computer (or you can use the department systems). This tutorial

More information

Introduction to Netburner Network Development Kit (NNDK) MOD5282

Introduction to Netburner Network Development Kit (NNDK) MOD5282 Introduction to Netburner Network Development Kit (NNDK) MOD5282 Picture of Netburner Development Board: Connections Required: 1) TTY Serial Cable to connect to Host PC Port 2 (or Port 1) 2) Ethernet Cable:

More information

SAKURA-W. Side-channel AttacK User Reference Architecture SAKURA-W Quick Start Guide. [Version 0.9] October 19, 2014.

SAKURA-W. Side-channel AttacK User Reference Architecture SAKURA-W Quick Start Guide. [Version 0.9] October 19, 2014. Side-channel AttacK User Reference Architecture SAKURA-W Quick Start Guide [Version 0.9] SAKURA-W October 19, 2014 Satoh Laboratory, The University of Electro Communications Revision Record Date Version

More information

Breeze Board. Type A. User Manual.

Breeze Board. Type A. User Manual. Breeze Board Type A User Manual www.dizzy.co.za Contents Introduction... 3 Overview Top... 4 Overview Bottom... 5 Getting Started (Amicus Compiler)... 6 Power Circuitry... 7 USB... 8 Microcontroller...

More information

Evaluating SiFive RISC- V Core IP

Evaluating SiFive RISC- V Core IP Evaluating SiFive RISC- V Core IP Drew Barbier January 2018 drew@sifive.com 3 Part Webinar Series Webinar Recordings and Slides: https://info.sifive.com/risc-v-webinar RISC-V 101 The Fundamentals of RISC-V

More information

SiFive Freedom E310 Arty FPGA Dev Kit Getting Started Guide. c SiFive, Inc.

SiFive Freedom E310 Arty FPGA Dev Kit Getting Started Guide. c SiFive, Inc. SiFive Freedom E310 Arty FPGA Dev Kit Getting Started Guide c SiFive, Inc. April 11, 2017 2 SiFive Freedom E310 Arty FPGA Dev Kit Getting Started Guide 1.0.6 SiFive Freedom E310 Arty FPGA Dev KitGetting

More information

ARROW ARIS EDGE Board User s Guide 27/09/2017

ARROW ARIS EDGE Board User s Guide 27/09/2017 ARROW ARIS EDGE Board User s Guide All information contained in these materials, including products and product specifications, represents information on the product at the time of publication and is subject

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

Digilab 2 XL Reference Manual

Digilab 2 XL Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 XL Reference Manual Revision: May 7, 2002 Overview The Digilab 2 XL (D2XL) development board

More information

Getting Started with STK200 Dragon

Getting Started with STK200 Dragon Getting Started with STK200 Dragon Introduction This guide is designed to get you up and running with main software and hardware. As you work through it, there could be lots of details you do not understand,

More information

Farklı Arduino Boardlar

Farklı Arduino Boardlar Farklı Arduino Boardlar Arduino UNO R3 Microcontroller ATmega328P (8 bit) DataSheet http://ww1.microchip.com/downloads/en/devicedoc/atmel- 42735-8-bit-AVR-Microcontroller-ATmega328-328P_Datasheet.pdf Operating

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family A Practical Guide to Configuring the Spartan-3A Family Goals 2 Explain advantages and disadvantages of each configuration mechanism available for Spartan-3A Show how to use an industry standard flash for

More information

ice65 mobilefpga Configuration & Programming Overview 05/12/2010

ice65 mobilefpga Configuration & Programming Overview 05/12/2010 ice65 mobilefpga Configuration & Programming Overview 05/12/2010 Configuration vs. Programming CONFIGURATION ice65 is a standard SRAM FPGA (reconfigurable) During power up, a configuration image is loaded

More information

Arduino Uno. Arduino Uno R3 Front. Arduino Uno R2 Front

Arduino Uno. Arduino Uno R3 Front. Arduino Uno R2 Front Arduino Uno Arduino Uno R3 Front Arduino Uno R2 Front Arduino Uno SMD Arduino Uno R3 Back Arduino Uno Front Arduino Uno Back Overview The Arduino Uno is a microcontroller board based on the ATmega328 (datasheet).

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5 Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim ver. 1.5 1 Prepared by Marcin Rogawski, Ekawat (Ice) Homsirikamol, Kishore Kumar Surapathi and Dr. Kris Gaj The example codes used

More information

LPC2468 Industrial Reference Design Platform System Development Kit Version 1.2. August 2008

LPC2468 Industrial Reference Design Platform System Development Kit Version 1.2. August 2008 QuickStart Guide LPC2468 Industrial Reference Design Platform System Development Kit Version 1.2 August 2008 1.0 System Overview The LPC2468 Industrial Reference Design (IRD) is a platform targeted at

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information