Implement a PCIe endpoint using Qsys System Integration Tool

Size: px
Start display at page:

Download "Implement a PCIe endpoint using Qsys System Integration Tool"

Transcription

1 Implement a PCIe endpoint using Qsys System Integration Tool

2 Agenda What is Qsys? Qsys UI Using Qsys in FPGA design flow Qsys files Qsys Intellectual Property(IP) 2

3 Tradi?onal System Design Address Decoder Processor (32-bit Master) Bus Interface Address Data Arbiter Interrupt Controller PCI Express (64-bit Master) Bus Interface Address Data Width Adapter Width Adapter Width Adapter Width Adapter Width Adapter Bus Interface Bus Interface Bus Interface Bus Interface Bus Interface Slave 1 8-Bit Slave 2 32-Bit Slave 3 16-Bit Slave 4 32-Bit Slave 5 64-Bit Components in system use different interfaces to communicate (some standard, some non- standard) Typical system requires significant engineering work to design custom interface logic Integra?ng design blocks and intellectual property (IP) is tedious and error- prone 3

4 4 Introducing Qsys

5 Automa?c Interconnect Genera?on Processor (32-bit Master) PCI Express (64-bit Master) Address Decoder Bus Interface Address Data Arbiter Interrupt Controller Bus Interface Address Qsys automatically generates interconnect Data Width Adapter Width Adapter Width Adapter Width Adapter Width Adapter Bus Interface Bus Interface Bus Interface Bus Interface Bus Interface Slave 1 8-Bit Slave 2 32-Bit Slave 3 16-Bit Avoids error- prone integra?on Saves development?me with automa?c logic & HDL genera?on Enables you to focus on value- add blocks Slave 4 32-Bit Slave 5 64-Bit 5

6 Qsys Benefits Simplifies complex system development Automa?c interconnect genera?on Raises the level of design abstrac?on High level design and system visualiza?on Provides a standard plavorm: IP integra?on, custom IP authoring, IP verifica?on Enables design re- use Scales easily to meet the needs of end product Reduces?me to market Reduces design development?me Eases verifica?on 6

7 Easy- to- Use System Integra?on UI Library of Available IP n Interface protocols n Memory n DSP n Embedded n Bridges n PLL n Custom systems Connect IP and Systems IP 1 Custom 1 IP 2 IP 3 Custom 2 Accelerate Development HDL Simplify Integration Automate Error-Prone Integration Tasks 7

8 Design Re- Use Qsys enables re- use of IP and systems with IP management capabili?es Project A Top top top Project B Package as IP Add to library Top Qsys Project C Top 8

9 Target Qsys Applica?ons Qsys can be used in almost every FPGA design Designs fall into two categories Control plane Memory mapped Reading and wri?ng to control and status registers Data plane Streaming Data switching (muxing, demuxing), aggrega?on, bridges Applica?ons include video and image processing, high- speed interfaces, embedded and memory 9

10 Processor Required? What if your design does not require a processor? Qsys systems do not require a processor Other components can ini?ate transfer requests and exert system control Memory- mapped components use master slave e.g. state machine, direct memory access (DMA) Streaming data uses source sink e.g. video camera, pa[ern generator So\ Processors (e.g. Nios II) or external processors may be connected to the Qsys Interconnect 10

11 Qsys vs. SOPC Builder Similari?es IP integra?on with switch fabric connec?vity Dynamic system genera?on High level system visualiza?on Custom IP authoring IP verifica?on and bus func?onal models (BFMs) Simula?on support for ModelSim Real-?me system debug 11

12 Qsys vs. SOPC Builder Differences In addi;on to SOPC Builder capabili;es, Qsys adds: High- performance interconnect Hierarchy Industry standard interfaces IP management capabili?es High-Performance Interconnect Hierarchy Industry-Standard Interfaces Design System Based on Network-on-Chip architecture Avalon Interfaces IP Management Package AMBA AXI as IP Add to Library (design reuse) 12

13 Increasing the Level of Abstrac?on Abstraction & Improved Productivity Level Low Medium High Block Block Block Block IP IP IP IP System System System System Design Block Integration Schematic Entry Tool SOPC Builder Tool IP Integration Design a system with IPs IP re-use IP verification System Integration Design a system with systems System re-use System verification Qsys System Integration Tool 13

14 SOPC Builder Systems in Qsys Qsys can open SOPC Builder files Qsys will transform SOPC Builder system into Qsys system.sopc file maintained Cleanup op?on available 14

15 Agenda What is Qsys? Qsys UI Using Qsys in FPGA design flow Qsys files Qsys Intellectual Property(IP) 15

16 Component Library System Contents System Inspector Address Map Clock Seangs Project Seangs Genera?on HDL Example Messages Qsys UI Overview 16

17 Open Qsys from Quartus II So\ware Quartus II so\ware Tools Menu Select Qsys Open or create new Qsys system Can also open.qsys file from Quartus II File menu 17

18 Qsys System- Integra?on Tool Qsys tabs Component Library System Contents Messages 18

19 Component Library Lists available IP and systems Type search string to filter the list Reuse previous systems hierarchy Expand categories to browse components Double-click component or click Add button to add selected component to system 19

20 Qsys UI: System Contents Tab Displays components and subsystems Use to add/remove/connect components System Components Enable/disable components 20

21 System Contents: Component Interfaces Number of independent interfaces with which component communicates with rest of system Clock and reset considered separate interfaces 21

22 System Contents: Connec?ons Panel Use Connec?ons panel to specify interface connec?vity Clocks, resets Masters and slaves Sources and sinks Interrupt senders and receivers Custom instruc?on senders and receivers Each dot represents a connec?on between two interfaces Qsys generates system interconnect based on this configura?on Direc?on shown with arrows Hide connec?ons for added readability Collapsing components Using filters 22

23 System Contents: Exports Explicitly export interfaces Choose interfaces to connect outside Qsys system Any interface can be exported Managed in Export column Click interface to export, then type name Exported interfaces displayed with pin icon 23

24 System Contents: Clocks Clock Source component Defines input clock(s) to system Connect two interfaces Clock Input interface fed from outside system (export) Clock Output interface connects to Clock Input interface of other system components 24

25 System Contents: Resets 1. Manually connect each reset interface User has more control over reset implementa?on Reset only subset of the components in system Must use care to avoid reset loops and system lockup MM Slave reset in middle of transac?on, Master waits forever Reset interfaces declared independent of clock interface S?ll associated with a clock interface Reset synchronized to associated clock Mul?ple resets can enter the system, like clocks Uses Reset Controller Block (later) 2. Choose Create Global Reset Network from System menu Automa?cally connects all Reset Inputs 25

26 Reset Connec?on Points External reset input interface Reset Output interface drives Reset Input interfaces of other system components 26

27 System Contents: Conduit Interfaces Conduits are used for any non- standard interfaces (groups of signals) User must manually specify signals that make up conduit interface Like- typed conduits can be connected in the UI Same signals with opposite direc?ons May be exported outside system 27

28 System Contents: Addressing Each memory- mapped master interface has own address map When slave ports are shared, the address map converges Maximum 32- bit address space (4GB) for each master interface Master address map is a collec?on of the following Connected slave interface base addresses Connected slave interface address spans (determines end address) Lowest and highest slave addresses make up the address space of the master Manually assign slave addresses Double- click or let Qsys auto- assign Master interface 28 Master address space based on connected slaves

29 Qsys UI: Address Map Tab Table of memory- mapped addresses Double- click cell to manually edit slave addressing Supports per- master addressing for shared slaves Single slave represented by different address ranges for different masters Master interfaces represented by columns 29 Slave interfaces represented by rows

30 Qsys UI: Clock Seangs Tab Use for further clock management Add new clocks to system Rename system clocks for readability Specify clock frequencies Must also create proper TimeQuest SDC constraints Double-click to change name or frequency (non-pll) 30

31 Qsys UI: Project Seangs Tab Control interconnect implementa?on Handshake, FIFO, or Auto clock domain crossing logic Pipelining in interconnect 31

32 Instance Parameters Define parameters and associated script that configures instan?a?ons of current system Discussed in the Advanced Qsys System Integra?on Tool Methodologies class Used in hierchical systems 32

33 Qsys UI: System Inspector Tab Review system and component details System hierarchy Top- level system connec?on Component interfaces Connec?ons between components Component details Edit component seangs 33

34 System Inspector System View System level settings Top-level symbol diagram 34

35 System Inspector Exported Interface All exported interfaces Selected exported interface 35

36 System Inspector Connec?ons Connection type Selected connection properties All interface connections 36

37 System Inspector Submodules Selected component parameter editor settings* All system components * Some wizard settings can be edited 37

38 System Inspector Submodule Interface Selected interface type Components interfaces Selected interface settings including timing waveforms 38

39 Qsys UI: HDL Example Tab Creates Verilog or VHDL instan?a?on template Use to instan?ate Qsys system as a submodule in design 39

40 Qsys UI: Genera?on Tab Choose what files to generate (Simula?on, synthesis, or symbol) Choose Output Directory Path (default is subdirectory) Click Generate bu[on (bo[om of tab) 40

41 Qsys UI: Messages Documents system error, warning and informa?on messages Includes summary of error and warning counts 41

42 Addi?onal UI Features System edi?ng Filtering Auto- assignments and inser?ons 42

43 System Edi?ng Remove components from system Highlight component and click X bu[on Highlight component and hit Delete key Change order of components in system Arrange components in System Contents tab to make system and component connec?ons more easily understandable Does not change addressing or connec?ons 43

44 Filtering Filter System Contents display based on Component name Interface type Connec?on source/des?na?on Default filter is all components and all interfaces except interrupts Select pre- defined filters by right- clicking on any component or interface in System Contents Create new custom filters by clicking on Filter bu[on and defining filter criteria 44

45 Other Useful Qsys Commands Accessible from the File menu Refresh System Refreshes all IP and components to detect any changes Accessible from System menu Assign Base Addresses Automa?cally eliminates conflicts in slave addressing Create Global Reset Network Insert Avalon - ST Adapters Automa?cally inserts adapters between source and sinks to compensate for differences (e.g?ming, data width, data format, error flags) Remove Dangling ConnecLons Removes unconnected connec?on lines (interfaces) from ac?ve components in the System Contents tab 45

46 Agenda What is Qsys? Qsys UI Using Qsys in FPGA design flow Qsys files Qsys Intellectual Property(IP) 46

47 FPGA Hardware Design Flow Design Specification LE M4K M512 I/O Qsys System Integration Tool Design Entry/RTL Coding - Behavioral or Structural Description of Design RTL Simulation - Functional Simulation (Modelsim, Quartus II software) - Verify Logic Model & Data Flow (No Timing Delays) Synthesis - Translate design into device specific primitives - Optimization to meet required area & performance constraints - Quartus II software or other supported synthesis tools Place & Route - Map primitives to specific locations inside - Target technology with reference to area & performance constraints - Specify routing resources to be used 47

48 FPGA Hardware Design Flow t clk Timing Analysis - Verify performance specifications were met - TimeQuest static timing analysis Gate Level Simulation - Timing simulation - Verify design will work in target technology Test FPGA on PC Board - Program & test device on board - Use Quartus II tools (e.g. Signaltap II logic analyzer) for debugging 48

49 Qsys System Genera?on 1. Create Qsys system 2. Generate HDL files for synthesis on GeneraLon tab Generates HDL files into a synthesis subdirectory 3. Set top- level project en?ty Instan?ate Qsys system as sub- block in another design file or specify Qsys system name as top- level design en?ty 4. Add generated.qip file to Quartus II project Adds all required generated HDL files 5. Constrain design 6. Compile design Use Qsys to make changes to system, rather than edi?ng any generated HDL 49

50 Agenda What is Qsys? Qsys UI Using Qsys in FPGA design flow Qsys files Qsys Intellectual Property(IP) 50

51 Qsys Source Files.qsys file Each.qsys file represents a single Qsys system (components, connec?ons and parameteriza?ons) All other files for Qsys system created during system genera?on Can use mul?ple Qsys files in a single Quartus II project (hierarchy) 51

52 Qsys Output Files Top- level folder files <system_name>.sopcinfo XML file describing Qsys system used for so\ware development tools <system_name>.bsf Symbol file for Quartus II schema?c editor (op?onal) <system_name>.html Genera?on report including output files generated, component list, etc. Files for synthesis Located in <system_name>/synthesis folder <system_name>.qip Script file that adds all files needed for synthesis to Quartus II project <system_name>.v Qsys system top- level file connec?ng all components together (Verilog only) Submodule files for synthesis Located in submodule folder Combina?on of Verilog, SystemVerilog and/or VHDL files represen?ng system.sdc?ming constraint files may also be generated (add to Quartus II project) Files for simula?on Discussed later 52

53 Qsys Output Files Example project (folder) sm_transfer_system.qsys sm_transfer_system.sopcinfo sm_transfer_system.bsf sm_transfer_system.html sm_transfer_system (folder) synthesis (folder) sm_transfer_system.qip sm_transfer_system.v submodules (folder) component.v,.vhd and.sv files component.sdc 53

54 Agenda What is Qsys? Qsys UI Using Qsys in FPGA design flow Qsys files Qsys Intellectual Property(IP) 54

55 Component Library Review Lists available IP and systems Type search string to filter the list Reuse previous systems hierarchy Expand categories to browse components Double-click component or click Add button to add selected component to system 55

56 Component Library Parameter Editors A\er clicking Add, configure component op?ons and interfaces before adding to system IP_Compiler for PCI Express PLL Parameter Editor On-Chip FIFO Parameter Editor 56

57 IP Components Basic components Streaming components Memory components Tristate components Bridge components High- speed interface components Processor components 57

58 Clock source Basic IP Components Defines external clock inputs to the system Reset bridge Use when component - generated reset must be connected to internal logic and be exported Qsys does not allow interfaces to be exported and connected inside system Component reset Reset Bridge To reset input interfaces Exported reset 58

59 Streaming IP Components Use to manipulate data flows in streaming systems Demul?plexer Mul?plexer Channel adapter Data format adapter Timing adapter Delay Spli[er 59

60 On- chip Memory IP Components On- chip RAM/ROM On- chip FIFO Off- chip SDRAM Controller DDR/DDR2/DDR3 Controller QDRII/QDRII+ SRAM Controller RLDRAM II Controller Flash Interfaces 60

61 DMA Direct Memory Access (DMA) Perform bulk data transfers between Avalon- MM address ranges Sca[er- gather DMA Perform bulk data transfers and merges between non- con?guous memory and con?nuous address space 61

62 Tri- State IP Components Generic tri- state controller Represents any controller block that uses tri- states Tri- state pin sharer Allows mul?ple off- chip devices to share FPGA pins Tri- state bridge Bridges unidirec?onal core signals and tri- state I/O signals Must be used to connect to off- chip tri- state devices 62

63 Bridge IP Components Avalon- MM clock crossing bridge Uses FIFOs for buffered high- throughput clock domain crossing from Avalon- MM master to slave Avalon- MM pipeline bridge Specify pipelining of command and response segments Control interface topology with or without pipelining Allow expor?ng of mul?ple MM interfaces through one aggregate interface JTAG to Avalon master bridge Uses JTAG commands to access and control Qsys components SPI slave to Avalon master bridge Uses SPI interface to access and control Qsys components 63

64 High- Speed Interface IP IP_Compiler for PCI Express* 10/100/1000 Mb (Triple- Speed) Ethernet 10Gb Ethernet Interlaken RapidIO * All except for IP_Compiler for PCI Express require additional licensing to use in finished product. 64

65 IP_Compiler for PCI Express Configures Hard IP for PCI Express and embedded transceivers Performs transac?on, data link, PHYMAC layer and func?onality Supports PCI Express Gen 2 (5.0 Gbps) & Gen 1 (2.5 Gbps) Supports root port and endpoint applica?ons Connects directly to FPGA logic using Avalon- MM master and slave interfaces FPGA PCI Express Hard IP Block Avalon-MM data &control interfaces Transaction Layer Data Link Layer PHYMAC Layer PIPE Embedded Transceiver Block Transceiver Block n Transceiver Block 2 Transceiver Block 1 Transceiver Block 0 To / from Slot or cable 65

66 Processor IP Components Nios II processor Altera s second genera?on so\- core 32 bit RISC microprocessor Licenses MegaCore func?on Nios II instructor- led classes: Designing with the Nios II Processor Developing SoRware for the Nios II Processor 66

67 Informa?on for Exercise PCIe transactions targeting bar0 translated to Avalon-MM transfers sent to the on-chip memory. PCIe transactions targeting bar1 translated to Avalon-MM transfers sent to DMA control and PCIe core CRA. Programmed DMA can transfer data between on-chip memory and PCIe core (tx_out) to be converted to PCIe transactions. DMA read write Master interface PCI Express IP Core control On-Chip Memory Slave interface bar0 bar1 CRA tx_out 4 PCIe Link data Qsys Connections - Avalon-MM Master or Master/Slave Component - Avalon-MM Slave Component 67

68 Step 1: Create Quartus II project(1) 11_1.png

69 Step 1: Create Quartus II project(2)

70 Step 1: Create Quartus II project(3)

71 Step 2: Start Qsys

72 Step 3: add a Hard IP for PCI Express func?on to the system(1)

73 Step 3: add a Hard IP for PCI Express func?on to the system(2) Configure the general, system-related settings. In the PCIe System Settings section of the IP_Compiler for PCI Express editor, choose the following options: Select x1 for the number of Lanes. Select 100 MHz for the Reference clock frequency. Select 64bits for the Test out width. Leave both the Gen2 Lane Rate Mode and Enable 62.5 Mhz application clock options de-selected.

74 Step 3: add a Hard IP for PCI Express func?on to the system(3) Configure the PCIe Base Address Registers (BARs) for this endpoint. Go to the PCI Base Address Registers (Type 0 Configuration Space) section of the IP_Compiler for PCI Express editor and choose the following options: Verify BAR 0 (the first row) has been set to 64 bit Prefetchable. The BAR type for BAR 1 should read: 1 - Occupied. Click on the BAR Type field for BAR 2 (i.e. row 3). From the drop -down menu that appears, select 32-bit Non-Prefetchable. Leave the BAR Size and Avalon Base Address fields set to their defaults.

75 Step 3: add a Hard IP for PCI Express func?on to the system(4) Configure the PCIe Read-Only Registers for this endpoint. Go to the Device Identification Registers section of the IP_Compiler for PCI Express editor and ensure the following options are set: The Vendor ID to 0x1172. The Device ID to 0xE001. The Revision ID to 0x09. The Class code to 0xFF0000. The Subsystem vendor ID to 0x1172. The Subsystem ID to 0x01144.

76 Step 3: add a Hard IP for PCI Express func?on to the system(5) Configure the Buffer Configuration settings. Go to the Buffer Configuration section of the IP_Compiler for PCI Express editor and choose the following options: For Maximum Payload Size, use the drop-down menu to select 256 Bytes. For the Desired performance for received requests, select High.

77 Step 3: add a Hard IP for PCI Express func?on to the system(6) Configure the Avalon-MM interface to the embedded system. Go to the Avalon MM Settings section of the IP_Compiler for PCI Express editor. Choose the following: For Peripheral Mode, use the drop-down menu to select Requester /Completer. Enable the Control Register Access (CRA) Avalon slave port option. Leave the Auto Enable PCIe Interrupt (enabled at power-on) and Disable Auto Reordering for Rx Completion TLP s options disabled.

78 Step 3: add a Hard IP for PCI Express func?on to the system(7) Configure the Avalon address translation. Go to the Address Translation section of the IP_Compiler for PCI Express editor. Choose the following options: For the Address Translation Table Configuration, use the drop-down menu to select Dynamic translation table. Select 2 as the Number of address pages. Select 1 MByte 20 bits for the Size of address pages.

79 Step 3: add a Hard IP for PCI Express func?on to the system(8)

80 Step 4: Add DMA Controller(1)

81 Step 4: Add DMA Controller(2)

82 Step 4: Add DMA Controller(3)

83 Step 5: Add on- chip Memory(1)

84 Step 5: Add on- chip Memory(2)

85 Step 5: Add on- chip Memory(3)

86 Step 6: Connect the component interfaces(1)

87 Step 6: Connect the component interfaces(2)

88 Step 6: Connect the component interfaces(3)

89 Step 6: Connect the component interfaces(4)

90 Step 6: Connect the component interfaces(5) Export the calibration block interface of the Hard IP for PCIe. In the System Contents tab, highlight the cal_blk_clk interface of the pcie_hard_ip_0 component. Click in the Export column and confirm the exported name for this interface is pcie_hard_ip_0_cal_blk_clk. Type the name, if necessary. Hit Enter when finished.

91 Step 6: Connect the component interfaces(6)

92 Step 6: Connect the component interfaces(7)

93 Step 7: Adjust System Addresses(1) Set the address of the pcie_hard_ip_0 component s Control Register Access port to 0x On the System Contents tab, locate the Base address column. For the cra interface of the pcie_hard_ip_0 component, confirm that the address is set to 0x Change, if necessary, by double-clicking in the Base address field and typing in the address.

94 Step 7: Adjust System Addresses(2)

95 Step 8: Choose final seangs and generate the Qsys system(1) Review the system clock settings. On the Clock Settings tab, verify that the pcie_hard_ip_0 component is generating a 125 MHz clock for the system. Establish final Qsys settings. On the Project Settings tab, review the block diagram to make sure all of your exported interfaces are visible. In the Parameters section, choose the following options: Device Family: Arria II GX Clock crossing adapter type:fifo Limit interconnect pipeline stages to:2 Generation ID: 0 Generate the system. On the Generation tab, leave all options in the Simulation section set to None. In the Synthesis section, enable both options to Create HDL design files for synthesis and to Create block symbol file (.bsf). Click Generate.

96 Step 8: Choose final seangs and generate the Qsys system(2) Generate the system. On the Generation tab, leave all options in the Simulation section set to None. In the Synthesis section, enable both options to Create HDL design files for synthesis and to Create block symbol file (.bsf). Click Generate.

97 Step 9:Incorporate into top- level file and compile(1)

98 Step 9:Incorporate into top- level file and compile(2)

99 Step 9:Incorporate into top- level file and compile(3)

100 Step 9:Incorporate into top- level file and compile(4)

101 Step 9:Incorporate into top- level file and compile(5)

102 Step 9:Incorporate into top- level file and compile(6)

103 Step 9:Incorporate into top- level file and compile(7)

104 Step 9:Incorporate into top- level file and compile(8)

105 Step 9:Incorporate into top- level file and compile(9)

106 Digital Video Design and Implementation Skills Using FPGA

107 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

108 Ø Basic Design on DE2-115 Composite Input TV Decoder VGA DAC SDRAM Cyclone IV FPGA 4CE115

109 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

110 Ø TV Decoder VSync HSync Field Composite (Analog) A/DC Digital Decoder Y Cb Cr

111 Ø TV Decoder Programming Output 13.5MHz xx xx xx xx xx Y 0 Y 1 xx Cb 0 Cr 1 + Hsync + Vsync + Field 27MHz xx xx xx xx xx xx + Hsync + Vsync + Field Cb 0 Y 0 Cr 1 Y 1 27MHz xx xx FF SAV Cb 0 Y 0 Cr 1 Y 1

112 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

113 Ø Input Format:ITU-R656 End Start Video Data FF0000:Control Code Header XY: Field/Vertical-Active/Vertical-Active Indicator

114 Ø Decoder ITU-R656 Data Stream 0 Cb ITU656 Data 1, 3 2 Y Cr SAV(Reset) 27MHz 2-bit Counter

115 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

116 Ø What is Interlaced Scan? Upper Field Lower Field Interlace Scan Progressive Scan

117 Ø Why is Interlaced Scan?

118 Ø De-interlaced Method n Video mode. Ø Intra-Field (Bob or Interpolation or ELA) Ø Inter-Field (Blend or Weave) Ø Motion Adaptive Ø Motion Compensation n Film mode. Ø 3:2 Pull-Down (NTSC) Ø 2:2 Pull-Down (PAL)

119 Video Mode Ø Intra-Field:Bob Ø Method: Advantage: Duplicate Video no loss. each Line line buffer for each only. field. No extra judgment. Ø Disadvantage: Less resolution. Source Jagged. Output Shake. Odd 001 Odd 001 Odd 001 Odd 002 Odd 002 Source Odd 002 Line n+1 Odd 239 Odd 240 Odd 239 Odd 239 Odd 240 Odd 240 Even 001 Even 002 Line Buffer Line Time Buffer Source Even 239 Even 240 Output Even 001 Even 001 Even 002 Even 002 Output Line 2n/2n+1 Even 239 Even 239 Even 240 Even 240

120 Video Mode Ø Intra-Field:Interpolation Ø Method: Advantage: Interpolate Video no loss. the Line new buffer line from only. the No scan extra lines judgment. for each field. Ø Disadvantage: Source Output Less resolution. Jagged. Odd 001 Odd 001 Avg (Odd 001/002) Odd 002 Odd 002 Avg (Odd 002/003) Source Line n+1 Odd 239 Odd 240 Odd 239 Line Buffer Time Avg (Odd239/240) Odd 240 Odd 240 Line Buffer Line Buffer Even 001 Even 002 Source Even 239 Even 240 Output Even 001 Even 001 Avg (Even 001/002) Even 002 Output Line 2n-3/2n-2/2n-1 Avg (Even 238/239) Even 239 Avg (Even 239/240) Even 240 Line 2n-1/2n/2n+1

121 Video Mode Ø Inter-Field:Weave Ø Memory: Method: Field Merge buffer the odd needed. and even field. Source Odd1 001 Odd1 002 Odd1 239 Odd1 240 Time Even1 001 Even1 002 Even1 239 Even1 240 Time Odd2 001 Odd2 002 Odd2 239 Odd2 240 Time Even2 001 Even2 002 Even2 239 Even2 240 Output Odd1 001 Even1 001 Odd1 002 Even1 002 Odd1 239 Even1 239 Odd1 240 Even1 240 Odd2 001 Even1 001 Odd2 002 Even1 002 Odd2 239 Even1 239 Odd2 240 Even1 240 Odd2 001 Even2 001 Odd2 002 Even2 002 Odd2 239 Even2 239 Odd2 240 Even2 240

122 Video Mode Ø Inter-Field:Blend Ø Memory: Method: Field Resize buffer the average needed. odd and even field. Source Odd1 001 Odd1 002 Odd1 239 Odd1 240 Time Even1 001 Even1 002 Even1 239 Even1 240 Time Odd2 001 Odd2 002 Odd2 239 Odd2 240 Time Even2 001 Even2 002 Even2 239 Even2 240 Output Avg (Odd1 001/Even1 001) Avg (Odd1 001/Even1 001) Avg (Odd1 002/Even1 002) Avg (Odd1 002/Even1 002) Avg (Odd1 239/Even1 239) Avg (Odd1 239/Even1 239) Avg (Odd1 240/Even1 240) Avg (Odd1 240/Even1 240) Avg (Odd2 001/Even1 001) Avg (Odd2 001/Even1 001) Avg (Odd2 002/Even1 002) Avg (Odd2 002/Even1 002) Avg (Odd2 239/Even1 239) Avg (Odd2 239/Even1 239) Avg (Odd2 240/Even1 240) Avg (Odd2 240/Even1 240) Avg (Odd2 001/Even2 001) Avg (Odd2 001/Even2 001) Avg (Odd2 002/Even2 002) Avg (Odd2 002/Even2 002) Avg (Odd2 239/Even2 239) Avg (Odd2 239/Even2 239) Avg (Odd2 240/Even2 240) Avg (Odd2 240/Even2 240)

123 Film Mode Ø 3:2 Pull Down Detection 0 24frames/sec 3 60fields/sec Error Error X Error X 9 X 6 Error 8 odd even odd even odd even odd even odd even Still 4 Scan Code Scan = Code Scan 0 = Code Scan Check = Code Scan ok = Code Scan = Code = ?? Scan Code = X

124 Film Mode Ø PAL:2:2 Pull Down 24frames/sec 50fields/sec

125 Film Mode Ø 2:2 Pull Down Detection Error 1 Error motion 1 0 Sawtooth Still odd1 2 even1 odd2 Check ok If sawtooth_even1 > threshold, then sawtooth detected!

126 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

127 Ø Scaler Digital Video Source Buffer Digital Rescaling Algorithm LCD Display Rescaling

128 Ø 4:3 => 16:9 Original 4:3 Image 4:3 Image Fit on 16:9 Display Simple Linear Scaling Non-Linear Horizontal Scaling

129 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

130 Ø Color Space Conversion u YCbCr to RGB R = 1.164(Y-16) (Cr-128) G = 1.164(Y-16) (Cb-128) (Cr-128) B = 1.164(Y-16) (Cb-128) = 1 + 1/ / / = 1 + 1/2 + 1/ / = 1/ / / = 1/2 + 1/ / = 2 + 1/2 6

131 Ø Basic Digital TV Design Composite Input Altera FPGA VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

132 Ø VGA Timing Vertical Sync VGA MONITOR

133 Ø VGA Controller Architecture H-Sync DATA X Y Source Clock H-Sync Generator Pixel Clock H-Counter Pixel Clock PLL Memory X DATA Request V-Sync Generator V-Counter VGA Data Control V-Sync Y R G B

134 Ø Video Quality? Composite Input VGA Timing VS HS TV Decoder ITU-R 656 Decoder De- Interlace Scaler YCbCr to RGB R G B VGA DAC SCLK SDATA I 2 C Controller SDRAM

135

SISTEMI EMBEDDED AA 2013/2014

SISTEMI EMBEDDED AA 2013/2014 SISTEMI EMBEDDED AA 2013/2014 System Interconnect Fabric Federico Baron> System Interconnect Fabric Interconnect and logic resources to manage whole connec>vity among all components in a Altera SoPC system

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

Creating a System With Qsys

Creating a System With Qsys 6 QII51020 Subscribe Qsys is a system integration tool included as part of the Quartus II software. Qsys captures system-level hardware designs at a high level of abstraction and automates the task of

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

Creating a System With Qsys

Creating a System With Qsys 5 QII51020 Subscribe Qsys is a system integration tool included as part of the Quartus II software. Qsys captures system-level hardware designs at a high level of abstraction and simplifies the task of

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Custom Components for NIOS II Systems Dr. D. J. Jackson Lecture 15-1 Qsys Components A Qsys component includes the following elements: Information about the component type, such

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

4K Format Conversion Reference Design

4K Format Conversion Reference Design 4K Format Conversion Reference Design AN-646 Application Note This application note describes a 4K format conversion reference design. 4K resolution is the next major enhancement in video because of the

More information

Embedded Design Handbook

Embedded Design Handbook Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Introduction... 6 1.1 Document Revision History... 6 2 First Time Designer's Guide... 7 2.1 FPGAs and Soft-Core Processors...

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Embedded Systems. System On Programmable Chip NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs. Embedded Systems "System On Programmable Chip" NIOS II Avalon Bus René Beuchat Laboratoire d'architecture des Processeurs rene.beuchat@epfl.ch 3 Embedded system on Altera FPGA Goal : To understand the

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle Implementing Video and Image Processing Designs Using FPGAs Click to add subtitle Agenda Key trends in video and image processing Video and Image Processing Suite Model-based design for video processing

More information

Getting Started With the Nios II DPX Datapath Processor ---Version

Getting Started With the Nios II DPX Datapath Processor ---Version Getting Started With the Nios II DPX Datapath Processor ---Version 11.0--- This tutorial teaches you how to develop a complete system employing Altera event-driven datapath processing. In this tutorial,

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

AN 690: PCI Express DMA Reference Design for Stratix V Devices

AN 690: PCI Express DMA Reference Design for Stratix V Devices AN 690: PCI Express DMA Reference Design for Stratix V Devices an690-1.0 Subscribe The PCI Express Avalon Memory-Mapped (Avalon-MM) DMA Reference Design highlights the performance of the Avalon-MM 256-Bit

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE USER MANUAL V1.0 Microtronix Datacom Ltd 126-4056 Meadowbrook Drive London, ON, Canada N5L 1E3 www.microtronix.com Document Revision History This user guide

More information

AN 829: PCI Express* Avalon -MM DMA Reference Design

AN 829: PCI Express* Avalon -MM DMA Reference Design AN 829: PCI Express* Avalon -MM DMA Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1....3 1.1. Introduction...3 1.1.1.

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

4. SOPC Builder Components

4. SOPC Builder Components 4. SOPC Builder Components VGA Core for Altera DE2/DE1 Boards QII544-6.. Introduction 1 Core Overview This chapter describes in detail what an SOPC Builder component is. SOPC Builder components are individual

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

Section III. Transport and Communication

Section III. Transport and Communication Section III. Transport and Communication This section describes communication and transport peripherals provided for SOPC Builder systems. This section includes the following chapters: Chapter 16, SPI

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

2.5G Reed-Solomon II MegaCore Function Reference Design

2.5G Reed-Solomon II MegaCore Function Reference Design 2.5G Reed-Solomon II MegaCore Function Reference Design AN-642-1.0 Application Note The Altera 2.5G Reed-Solomon (RS) II MegaCore function reference design demonstrates a basic application of the Reed-Solomon

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

3-D Accelerator on Chip

3-D Accelerator on Chip 3-D Accelerator on Chip Third Prize 3-D Accelerator on Chip Institution: Participants: Instructor: Donga & Pusan University Young-Hee Won, Jin-Sung Park, Woo-Sung Moon Sam-Hak Jin Design Introduction Recently,

More information

Arria 10 Hard IP for PCI Express

Arria 10 Hard IP for PCI Express Arria 10 Hard IP for PCI Express User Guide for the Avalon Memory-Mapped Interface Last updated for Altera Complete Design Suite: 13.1 Arria 10 Subscribe UG-01145_avmm 101 Innovation Drive San Jose, CA

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001: A Qsys based Nios II Reference design with HelloWorld test running in HyperRAM device using S/Labs' HBMC IP This tutorial describes a simple

More information

Graphics Controller Core

Graphics Controller Core Core - with 2D acceleration functionalities Product specification Prevas AB PO Box 4 (Legeringsgatan 18) SE-721 03 Västerås, Sweden Phone: Fax: Email: URL: Features +46 21 360 19 00 +46 21 360 19 29 johan.ohlsson@prevas.se

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP TFT Controller General Description The Digital Blocks TFT Controller IP Core interfaces a microprocessor and frame buffer memory via the AMBA 2.0 to a TFT panel. In an FPGA,

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version 3.2.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 3.2.0 of the DDR & DDR2 SDRAM

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

AN 839: Design Block Reuse Tutorial

AN 839: Design Block Reuse Tutorial AN 839: Design Block Reuse Tutorial for Intel Arria 10 FPGA Development Board Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

PCI Express Compiler User Guide

PCI Express Compiler User Guide PCI Express Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 7.1 Document Date: May 2007 Copyright 2007 Altera Corporation. All rights reserved.

More information

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML

More information

Arria V GZ Avalon-MM Interface for PCIe Solutions

Arria V GZ Avalon-MM Interface for PCIe Solutions Arria V GZ Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe 2014.06.30 UG-01127_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

PCI Express Avalon-MM DMA Reference Design

PCI Express Avalon-MM DMA Reference Design PCI Express Avalon-MM DMA Reference Design AN-690 2016.05.28 Subscribe Send Feedback Contents Contents 1 AN 690:...3 1.1 Deliverables Included with the Reference Design...3 1.2 Reference Design Functional

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML

More information

9. Functional Description Example Designs

9. Functional Description Example Designs November 2012 EMI_RM_007-1.3 9. Functional Description Example Designs EMI_RM_007-1.3 This chapter describes the example designs and the traffic generator. Two independent example designs are created during

More information

April 2002, Version 1.1. Component. PTF File. Component. Component GUI Wizards. Generation. System PTF file Files and Libraries.

April 2002, Version 1.1. Component. PTF File. Component. Component GUI Wizards. Generation. System PTF file Files and Libraries. SOPC Builder April 2002, Version 1.1 Data Sheet Introduction SOPC Builder is a tool for composing bus-based systems out of library components such as CPUs, memory interfaces, and peripherals. SOPC Builder

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Turbo Encoder Co-processor Reference Design

Turbo Encoder Co-processor Reference Design Turbo Encoder Co-processor Reference Design AN-317-1.2 Application Note Introduction The turbo encoder co-processor reference design is for implemention in an Stratix DSP development board that is connected

More information

Using Tightly Coupled Memory with the Nios II Processor

Using Tightly Coupled Memory with the Nios II Processor Using Tightly Coupled Memory with the Nios II Processor TU-N2060305-1.2 This document describes how to use tightly coupled memory in designs that include a Nios II processor and discusses some possible

More information

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide 25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. 25G

More information

9. PIO Core. Core Overview. Functional Description

9. PIO Core. Core Overview. Functional Description 9. PIO Core NII51007-9.0.0 Core Overview The parallel input/output (PIO) core with Avalon interface provides a memory-mapped interface between an Avalon Memory-Mapped (Avalon-MM) slave port and general-purpose

More information

AN 812: Qsys Pro System Design Tutorial

AN 812: Qsys Pro System Design Tutorial AN 812: Qsys Pro System Design Tutorial AN-812 2017.08.15 Subscribe Send Feedback Contents Contents Qsys Pro System Design Tutorial... 3 Hardware and Software Requirements... 4 Download and Install the

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP -UHD General Description The Digital Blocks -UHD LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect

More information

NIOS II Pixel Display

NIOS II Pixel Display NIOS Pixel Display SDRAM 512Mb Clock Reset_bar CPU Onchip Memory External Memory Controller JTAG UART Pixel DMA Resampler Scaler Dual Port FIFO VGA Controller Timer System ID VGA Connector PLL 2 tj SDRAM

More information

Arria 10 JESD204B IP Core Design Example User Guide

Arria 10 JESD204B IP Core Design Example User Guide Arria 10 JESD204B IP Core Design Example User Guide UG-DEX-A10-JESD204B 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1 Arria 10 JESD204B

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2014.12.19 AN-456-2.3 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Altera JTAG-to-Avalon-MM Tutorial

Altera JTAG-to-Avalon-MM Tutorial Altera JTAG-to-Avalon-MM Tutorial Version 1.0 D. W. Hawkins (dwh@ovro.caltech.edu) March 14, 2012 Contents 1 Introduction 3 2 SOPC Builder and Qsys 5 3 SOPC Builder Design Flow 6 3.1 Project Creation......................................

More information

Arria 10 Avalon-MM Interface for PCIe Solutions

Arria 10 Avalon-MM Interface for PCIe Solutions Arria 10 Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Arria 10 Edition Subscribe UG-01145_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2017.04.20 AN-456-2.5 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

AN 803: Implementing Analog-to- Digital Converter Multi-Link Designs with Intel Arria 10 JESD204B RX IP Core

AN 803: Implementing Analog-to- Digital Converter Multi-Link Designs with Intel Arria 10 JESD204B RX IP Core AN 803: Implementing Analog-to- Digital Converter Multi-Link Designs with Intel Arria 10 JESD204B RX IP Core Subscribe S Feedback Latest document on the web: PDF HTML Contents Contents Implementing Analog-to-Digital

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design.

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design. Verifying your IP Objective The purpose of this tutorial is to guide a user through the simulation and verification framework available in quartus. By the end of this tutorial you will be able to : 1.

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005C: A Qsys based Nios II Reference design with a simple HyperFlash test device using S/Labs' HBMC IP and S/Labs' Memory Region Mapper IP This

More information

Building Interfaces with Arria 10 High-Speed Transceivers

Building Interfaces with Arria 10 High-Speed Transceivers Building Interfaces with Arria 10 High-Speed Transceivers Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 20- nm embedded transceivers found

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 11: SoC Bus Architectures Shaahin Hessabi Department of Computer Engineering Sharif University of Technology On-Chip bus topologies Shared bus: Several masters and slaves connected to

More information

Intel Stratix 10 H-Tile PCIe Link Hardware Validation

Intel Stratix 10 H-Tile PCIe Link Hardware Validation Intel Stratix 10 H-Tile PCIe Link Hardware Validation Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 H-Tile PCIe* Link Hardware Validation... 3 1.1.

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect to a 4K and

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes RN-01082-17.0.0 2017.05.08 Subscribe Send Feedback Contents Contents 1 Version 17.0... 3 1.1 New Features and Enhancements...3

More information

Arria 10 Avalon-MM Interface for PCIe Solutions

Arria 10 Avalon-MM Interface for PCIe Solutions Arria 10 Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 15.0 Subscribe UG-01145_avm m 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

Implementing JESD204B IP Core System Reference Design with ARM HPS As Control Unit (Baremetal Flow)

Implementing JESD204B IP Core System Reference Design with ARM HPS As Control Unit (Baremetal Flow) 2015.12.30 Implementing JESD204B IP Core System Reference Design with ARM HPS As Control Unit (Baremetal Flow) AN-755 Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005B: A Qsys based Nios II Reference design with a simple application running from HyperFlash and HyperRAM device using S/Labs' HBMC IP. The HyperRAM

More information