AREA OPTIMIZATION OF SPI MODULE USING VERILOG HDL

Size: px
Start display at page:

Download "AREA OPTIMIZATION OF SPI MODULE USING VERILOG HDL"

Transcription

1 International Journal of Electronics and Communication Engineering & Technology (IJECET) Volume 7, Issue 3, May June 2016, pp , Article ID: IJECET_07_03_005 Available online at Journal Impact Factor (2016): (Calculated by GISI) ISSN Print: and ISSN Online: IAEME Publication AREA OPTIMIZATION OF SPI MODULE USING VERILOG HDL Bangaru Kalpana Student School of Electronics and Communication Engineering Reva University, Bangalore, Karnataka, India Amrut Anilrao Purohit Assistant Professor School of Electronics and Communication Engineering Reva University, Bangalore, Karnataka, India R. Venkata Siva Reddy Professor School of Electronics and Communication Engineering Reva University, Bangalore, Karnataka, India ABSTRACT The Objective of this Paper is to optimize the area of (Serial peripheral interface) SPI module. SPI is a inter and intra communication protocol used for communication and testing s like BST. Its occupies space in Embedded industry for communication of devices like Microcontrollers, peripheral s for example ADC s, DAC s, Memories etc. ll these devices have a SPI module on it which acts as a master or Slave. This module is consuming more Area, here we made a approach in order to reduce Area, which reduces Cost as well. Protocol is implemented in Structural Code Verilog, Simulated and Synthesized Using Xilinx9.1 on various families of FPGA. Finally whole design is mapped onto Vertex 5 FPGA. Key words: SPI module, Vertex 5FPGA, Xilinx 9.1. Cite this Article: Bangaru Kalpana, Amrut Anilrao Purohit and R. Venkata Siva Reddy, Area Optimization of SPI Module Using Verilog HDL, International Journal of Electronics and Communication Engineering & Technology, 7(3), 2016, pp editor@iaeme.com

2 Area Optimization of SPI Module Using Verilog HDL 1. INTRODUCTION The communication links across components of a chip or a board may be either serial or parallel. Serial communication is performed over fewer interconnecting cables, thus enables to save a significant amount of space and reduce the number of connecting pins. These reasons have led the serial communication interfaces to play a major role in the field of embedded systems. Even though serial communications like I 2 C offers much more features than SPI, Such as less pin count, automatic multi-master conflicts handling and built in addressing management etc. SPI is preferable, since it is very simple, high speed protocol, gives better throughput and offers extensions and variations. Technology has been increased Integrated chips came into picture, where millions of devices are integrated in to the single chip reduces area and Cost as well. In this Paper we moved one more step to reduce area consumed by the SPI module. SPI protocol introduced by the Motorola has four wires. It doesn t have a Certain Speed limit, today s it works up to 10Mega bits per second. It is a Mater-Slave protocol i.e., A Module can be acts as either Master or Slave. Master initiates the transmission and sends out Clock. In this paper we used a Structural code Verilog to implement SPI module. A simple master, slave are deigned and the whole design is simulated and synthesized using Xilinx SPI Protocol SPI is a simple four wire protocol designed by the Motorola initially, later many vendors came up with new SPI designs,some features added.it is a full duplex, Synchronous serial comminution protocol. It isn t a standard protocol like I 2 C. Designer has freedom to Extend it.spi works in either master mode or Slave mode. Four pins and their description according to the Motorola as below Table1 Shows how the purpose of pins vary for master and slave modules Pin notation Master Slave MOSI (Master out slave in) Output pin Input pin MISO (master in Slaveout) Input pin Output pin SCK (serial clock) Output pin Input Pin SS_ba (slave select) Output pin Input pin Master starts sending or receiving by asserting slave select line of particular slave, generates Clock and sends out the clock to the slave through SCK line, keep whole transmission under control of the clock. Every SPI module has mainly Port logic, Baud rate generation logic, Shift logic [7]. It has 8 bit registers through which user can sets SPI module. Even it is 8 bit, it can transmit up to 12 bits. Control word written decides whether the SPI Module works as master or slave, the two shift register s, one in Master and one in Slave are Connected in loop through MOSI and MISO lines[7]. Since it is full duplex for every clock pulse one bit is shifted in and shifted out of the module. Once Data has been received, data will transfer to receive data register parallelly, sets bits in Status register editor@iaeme.com

3 Bangaru Kalpana, Amrut Anilrao Purohit and R. Venkata Siva Reddy Baud rate register R/W SPPR2 SPPR1 SPPR0 SPR2 SPR1 SPR0 Reset Figure1 Reset values, bits description of baud rate register Above shows a baud rate register with 8 bits sets the clock rate, SPPR2, SPPR1, SPPR0 are Baud rate preselection bits and SPR0, SPR1, SPR2 SPI Baud rate selection bits combinely to divide clock to desired frequency. Status Register: As per name it shows the status of SPI module R/W SPIF SPTEF Reset Figure2 Reset values and bit descriptions of status register SPTEF -set's the interrupt when the transmit data register is empty. Clearing this bit after writing to data register starts next transmission. SPIF-SPI interrupt flag: Once data has been received, this bit is set. Reading data register without reading status register is not allowed. Control register R/W SPIE SPE SPTIE MSTR CPOL CPHA SSOE LSBFE Reset Figure3: Reset values and bit descriptions of control register SPIE- interrupt enable bit of SPI module. Enable the interrupt for reading operation if SPIF =1.ISR interrupt service routine, which includes operations to Start next byte of transmission consider the interrupt raises by SPIF this bit is to be set. SPE- system enable bit of SPI module. SPI module works only, if this bit is set. Clearing of this bit disables the SPI module and goes to idle state. SPTIE-Transmit enable bit of SPI. Enable the interrupt for next transmission if SPITFE=1.This bit enables the SPTEF interrupt to survive. MSTR-This bit shows weather module acts as master or slave, if 1 acts as master. CPOL: Clock polarity bit, if 1, Clock inversion occurs. This bit sets the clock as inverted clock or non-inverted that module has to work. CPHA: This bit decides at which clock edges latching and shifting has to occur, if it is 0, latching occurs on even edges and shifting in odd. SSOE: Slave select output enabled, for master acts as output pin assert to Vcc if single master, for slave acts as input pin grounded to 0 if single slave. LSBFE-This bit decides which bit to shift either LSB (least significant bit) or MSB (most significant bit) to shift. 2. FUNCTIONAL DESCRIPTION Control word written decides Module as a master or Slave. SPE bit enables the SPI module and MSTR decides Mater or Slave [4]. MASTER If MSTR=1, Module do master functions.it has to generate Clock. Baud logic generates the clock from the word written to the baud rate register. Master initiates the transmission by selecting slave through SS_bar line. The slave by asserting slave select line of particular slave to 0 in Multi slave mode. If single slave in 40 editor@iaeme.com

4 Area Optimization of SPI Module Using Verilog HDL communication, VCC is given to SS pin. It begins transmission by reading the Status register, if SPITE =1 write the data has to be transmitted into Transmit data register. Transmit data register shifts 8bit data parrallely into Shift register by clearing SPITE flag. Communication occurs by transmitting one bit per one clock pulse, after 8 pulses data has been received shifted out parallelly into Receive data register by setting SPIF flag. Slave If MSTR=0, module acts as Slave. In slave mode SCK and MOSI acts as input pins and MISO as output pin by default. Select pin is connected to the ground before transmission. Data exchanging occurs under the control of master clock. Once data has been received, bits are transmitted to Data register by setting SPIF flag bit. This bit is cleared by doing read accesses to the status register followed by data register Transmission Formats: [4] CPOL, CPHA bits in the Control register decides four modes in which data transmission occurs. CPOL bit decides, Clock is inverted or not. Mode 0 when CPOL=0, CPHA=0: Data changing on falling edge, reading on raising edge Mode 1 when CPOL=1, CPHA=0: FIGURE 4 Timing diagram of mode0 Mode 2 when CPOL=0, CPHA=1: FIGURE 5 Timing diagram of mode1 FIGURE 6 Timing diagram of mode editor@iaeme.com

5 Bangaru Kalpana, Amrut Anilrao Purohit and R. Venkata Siva Reddy Mode 3 when CPOL=1, CPHA=1: Figure7 Timing diagram of mode 3 3. NEW DESIGN We followed the Motorola user guide in order to model this design. Optimization of the gate level logic design is possible if we have a thorough knowledge of digital design. We actually went for digital logic design of logics to compress the area of SPI protocol. Successfully we optimize the area of baud logic, shift logic and port logic results a very less consumption of area than previous design. Since it is a Synchronous and asynchronous mixed design, all synchronous part of a design is edge triggered one. Synthesis has done on vertex-5 Xc5VLX30T FF324 board using XILINX 9.1. Below shows RTL view and schematic view of design on vertex-5 Xc5VLX30T FF324 Figure 8 SPI module RTL view. Figure 9 SPI module RTL view editor@iaeme.com

6 Area Optimization of SPI Module Using Verilog HDL 4. IMPLEMENTATION RESULTS Whole design implemented in XILINX9.1. Below shows verification results of design done by using MODELSIM 9.1. Design wrong with control, data, and baud registers which has to set by the user. A default value has been assigned to the register before module works for transmission. In our design default values are shown above. Below shows synthesis results of design, mapped on to vertex-5 Xc5VLX30T FF324 board, spatran3 board etc... A comparison is made to the previous design results. SPI master module sending data out: SPI slave module receiving data: Figure 10.SPI module as Master when MSTR=1. Figure 11 SPI module as a slave when MSTR=0. Table 2 Shows Synthesis results on Vertex 5 xc5vlx30-3ff324 comparison [1] & [4] Used by Utilized in Our design Available percentage Slices count % LUT count % Bound ed IOB s % 43 editor@iaeme.com

7 Bangaru Kalpana, Amrut Anilrao Purohit and R. Venkata Siva Reddy Table3 Shows Synthesis results comparison on different boards with previous design done in reference [2] Spartan 3E Used by Used By previous XC3S500E - 5[2] Our design design Available Slices count LUT count of 4 input Bounded IOB s 21outof Out of 92 Spartan 3E XC3S1200E -5 Slices count LUT count Bounded IOB s Virtex 4 XC4VFX Slices count 22outof out of LUT count Bounded IOB s 22 out of out of CONCLUSION AND FUTURE SCOPE Proposed design is simple SPI module acts as either master or slave. Our design consumes less area comparatively known from results in section before. Reducing area, reduces cost of design as well. Future, by adding some more features this module can also work in multi slave and multi master communication environment, still consumes less area. REFERENCES [1] Anand N, George Joseph, Suwin Sam Oommen, and R Dhanabal, design and implementation of high speed serial interface, School of Electronics Engineering, VIT University, Vellore, India. [2] Amit Kumar Shrivastava and Himanshu Joshi, Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs, HCTL Open IJTIR Volume 4, July editor@iaeme.com

8 Area Optimization of SPI Module Using Verilog HDL [3] T. Durga Prasad and B. Ramesh Babu, Design and simulation of master/ using Verilog HDL, IJSR, 3 (8), August [4] Prof. Jai Karan Singh and Prof. Mukesh Tiwari, Implementation of SPI slave on FPGA, IJAET/Vol.III/ Issue IV/Oct.-Dec, 2012/ [5] A.K. Oudjida et al, FPGA Implementation of I2C and SPI protocols, IEEE Instrumentation & Measurement Magazine, pp.8 13, February [6] Motorola Inc, SPI Block Guide V03.06, February [7] Fareha Naqvi, design and implementation of serial peripheral interface protocol Using Verilog HDL, 2015 IJEDR, 3(3) ISDSN: [8] Qazi Raza Abdul Quadir, Arif Rasool, Manan Mushtaq and Yasirbhat, Design and Simulation of A Non-Pipelined, Multi- Cycle 16 Bit Risc Educational Processor Using Verilog HDL, International Journal of Electronics and Communication Engineering & Technology, 5(9), 2014, pp [9] Md. Ajmal Sadiq, T.Naga Raju and Kumar. Keshamoni, Modeling and Simulation Of Test Data Compression Using Verilog, International Journal of Electronics and Communication Engineering & Technology, 4(5), 2013, pp [10] R.Kathiresan, M.Thangavel, K.Rathinakumar And S.Maragadharaj, Analysis of Different Bit Carry Look Ahead Adder Using Verilog Code, International Journal of Electronics and Communication Engineering & Technology, 4(4), 2013, pp editor@iaeme.com

The 9S12 Serial Peripheral Inteface (SPI) Huang Section 10.2 through 10.6 SPI Block User Guide

The 9S12 Serial Peripheral Inteface (SPI) Huang Section 10.2 through 10.6 SPI Block User Guide The 9S12 Serial Peripheral Inteface (SPI) Huang Section 102 through 106 SPI Block User Guide The 9S12 Serial Peripheral Interface (SPI) The 9S12 has a Synchronous Serial Interface On the 9S12 it is called

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

SPI Block User Guide V02.07

SPI Block User Guide V02.07 DOCUMENT NUMBER S12SPIV2/D SPI Block User Guide V02.07 Original Release Date: 21 JAN 2000 Revised: 11 Dec 2002 Motorola, Inc. Motorola reserves the right to make changes without further notice to any products

More information

Module 3.C. Serial Peripheral Interface (SPI) Tim Rogers 2017

Module 3.C. Serial Peripheral Interface (SPI) Tim Rogers 2017 Module 3.C Serial Peripheral Interface (SPI) Tim Rogers 2017 Learning Outcome #3 An ability to effectively utilize the wide variety of peripherals integrated into a contemporary microcontroller How? A:

More information

More on the 9S12 SPI Using the Dallas Semiconductor DS1302 Real Time Clock with the 9S12 SPI

More on the 9S12 SPI Using the Dallas Semiconductor DS1302 Real Time Clock with the 9S12 SPI More on the 9S12 SPI Using the Dallas Semiconductor DS1302 Real Time Clock with the 9S12 SPI Using the 9S12 SPI The SPI has a data register (SPIDR) and a shift register. To write data to the SPI, you write

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information

EE 308 Spring Using the 9S12 SPI

EE 308 Spring Using the 9S12 SPI Using the 9S12 SPI The SPI has a data register (SPIDR) and a shift register. To write data to the SPI, you write to the SPIDR data register. The 9S12 automatically transfers the data to the shift register

More information

International Journal of Advance Engineering and Research Development DESIGN AND IMPLEMENTATION OF SPI PROTOCOL

International Journal of Advance Engineering and Research Development DESIGN AND IMPLEMENTATION OF SPI PROTOCOL Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 4, Issue 12, December -2017 e-issn (O): 2348-4470 p-issn (P): 2348-6406 DESIGN

More information

RTL DESIGN OF EFFICIENT MODIFIED RUN- LENGTH ENCODING ARCHITECTURES USING VERILOG HDL

RTL DESIGN OF EFFICIENT MODIFIED RUN- LENGTH ENCODING ARCHITECTURES USING VERILOG HDL International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 8, Issue 1, January - February 2017, pp. 52 57, Article ID: IJECET_08_01_006 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=8&itype=1

More information

Serial Peripheral Interface (SPI) Host Controller Data Sheet

Serial Peripheral Interface (SPI) Host Controller Data Sheet Serial Peripheral Interface (SPI) Host Controller Data Sheet Proven System Block (PSB) for QuickLogic Customer Specific Standard Products (CSSPs) Features Supports Master configuration (Multi-Master configuration

More information

FPGA Implementation Of SPI To I2C Bridge

FPGA Implementation Of SPI To I2C Bridge FPGA Implementation Of SPI To I2C Bridge Abhilash S.Warrier Akshay S.Belvadi Dhiraj R.Gawhane Babu Ravi Teja K Abstract Today s electronic system is not a standalone unit instead working in a group, where

More information

spi 1 Fri Oct 13 13:04:

spi 1 Fri Oct 13 13:04: spi 1 Fri Oct 1 1:: 1.1 Introduction SECTION SERIAL PERIPHERAL INTERFACE (SPI) The SPI module allows full-duplex, synchronous, serial communication with peripheral devices.. Features Features of the SPI

More information

Design Development and Implementation of SPI

Design Development and Implementation of SPI MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 65 69 65 Design Development and Implementation of SPI A. Sirisha Kurnool (DT), A.P, INDIA M. Sravanthi

More information

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave.

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave. EE 456 Fall, 2009 Notes on SPI Bus Blandford/Mitchell The Serial Peripheral Interface (SPI) bus was created by Motorola and has become a defacto standard on many microcontrollers. This is a four wire bus

More information

ECE 4510/5530 Microcontroller Applications Week 6

ECE 4510/5530 Microcontroller Applications Week 6 ECE 4510/5530 Microcontroller Applications Week 6 Dr. Bradley J. Bazuin Associate Professor Department of Electrical and Computer Engineering College of Engineering and Applied Sciences Lab 5 Element Hardware

More information

Serial Peripheral Interface (SPI)

Serial Peripheral Interface (SPI) SPI = Simple, 3 wire, full duplex, synchronous serial data transfer Interfaces to many devices, even many non-spi peripherals Can be a master or slave interface 4 interface pins: -MOSI master out slave

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Assertion Based Verification of I2C Master Bus Controller with RTC Sagar T. D. M.Tech Student, VLSI Design and Embedded Systems BGS Institute of Technology,

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA 1 HESHAM ALOBAISI, 2 SAIM MOHAMMED, 3 MOHAMMAD AWEDH 1,2,3 Department of Electrical and Computer Engineering, King Abdulaziz University

More information

Block Diagram. mast_sel. mast_inst. mast_data. mast_val mast_rdy. clk. slv_sel. slv_inst. slv_data. slv_val slv_rdy. rfifo_depth_log2.

Block Diagram. mast_sel. mast_inst. mast_data. mast_val mast_rdy. clk. slv_sel. slv_inst. slv_data. slv_val slv_rdy. rfifo_depth_log2. Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset Supplied as human readable VHDL (or Verilog) source code mast_sel SPI serial-bus compliant Supports

More information

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples SERIAL PERIPHERAL INTERFACE (SPI) George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners OUTLINE SPI Theory SPI Implementation STM32F0 SPI Resources System

More information

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG Shivani Mehrotra 1, Nisha Charaya *2 1 M.Tech (ECE), 2 Assistant Professor, Amity University Gurgaon (Haryana), India Abstract: This

More information

High Speed SPI Slave Implementation in FPGA using Verilog HDL

High Speed SPI Slave Implementation in FPGA using Verilog HDL High Speed SPI Slave Implementation in FPGA using Verilog HDL Mr. Akshay K. Shah Abstract SPI (Serial Peripheral Interface) is a synchronous serial communication interface for short distance communication.

More information

DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART

DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART Shanthipriya S 1 and Lakshmi S 2 1 Masters of Technology Very Large Scale Integrated, Sathyabama

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 6 Interfaces for serial communication Year 3 CS Academic year 2017/2018 1 st Semester Lecturer: Radu Dănescu Serial communication modules on AVR MCUs Serial Peripheral

More information

a Serial Peripheral Interace (SPI). Embedded RISC Microcontroller Core Peripheral

a Serial Peripheral Interace (SPI). Embedded RISC Microcontroller Core Peripheral Features Full-duplex, 3-wire Synchronous Data Transfer Master or Slave Operation Maximum Bit Frequency of f CLOCK /4 (in M-bits/second) LSB First or MSB First Data Transfer Four Programmable Bit Rates

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

An SPI interface for the 65(C)02 family of microprocessors

An SPI interface for the 65(C)02 family of microprocessors Rev 4/B Dec 30, 2011 65SPI/B An SPI interface for the 65(C)02 family of microprocessors This device was created to provide a basic SPI interface for the 65xx family of microprocessors. Currently, the only

More information

Serial Peripheral Interface (SPI) Last updated 8/7/18

Serial Peripheral Interface (SPI) Last updated 8/7/18 Serial Peripheral Interface (SPI) Last updated 8/7/18 MSP432 SPI eusci = enhanced Universal Serial Communications Interface 2 tj MSP432 SPI ARM (AMBA Compliant) 7/8 bit transmission Master/Slave LSB/MSB

More information

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack October 2012 Introduction Reference Design RD1142 The Serial Peripheral Interface (SPI) is used primarily for synchronous serial communication between a host processor and its peripherals. The SPI bus

More information

UART TO SPI SPECIFICATION

UART TO SPI SPECIFICATION UART TO SPI SPECIFICATION Author: Dinesh Annayya dinesha@opencores.org Table of Contents Preface... 3 Scope... 3 Revision History... 3 Abbreviations... 3 Introduction... 3 Architecture... 4 Baud-rate generator

More information

Serial Peripheral Interface (SPI)

Serial Peripheral Interface (SPI) Serial Peripheral Interface (SPI) MSP432 SPI eusci = enhanced Universal Serial Communications Interface 2 tj MSP432 SPI ARM (AMBA Compliant) 7/8 bit transmission Master/Slave LSB/MSB first Separate RX/TX

More information

Menu. What is SPI? EEL 3744 EEL 3744 SPI

Menu. What is SPI? EEL 3744 EEL 3744 SPI Menu Concepts >Problems in serial communications Timing Synchronization: How do you line up the bit boundaries? Message Synchronization: How do you line up messages? Look into my... >Synchronous data solves

More information

Microcontrollers and Interfacing

Microcontrollers and Interfacing Microcontrollers and Interfacing Week 10 Serial communication with devices: Serial Peripheral Interconnect (SPI) and Inter-Integrated Circuit (I 2 C) protocols College of Information Science and Engineering

More information

ECE 4510 Introduction to Microprocessors. Chapter 10

ECE 4510 Introduction to Microprocessors. Chapter 10 ECE 451 Introduction to Microprocessors Chapter 1 Dr. Bradley J. Bazuin Associate Professor Department of Electrical and Computer Engineering College of Engineering and Applied Sciences Chapter 1 Serial

More information

Serial versus Parallel Data Transfers

Serial versus Parallel Data Transfers Serial versus Parallel Data Transfers 1 SHIFT REGISTERS: CONVERTING BETWEEN SERIAL AND PARALLEL DATA Serial communications Most communications is carried out over serial links Fewer wires needed Less electronics

More information

Design and Simulation of UART for Serial Communication

Design and Simulation of UART for Serial Communication Design and Simulation of UART for Serial Communication 1 Manju Wadhvani 1 Electronic and Telecommunication Engineering, Chhatisgarh Swami Vivekanand Technical university, Disha Institute of Management

More information

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 5, May 2017, pp. 588 595, Article ID: IJMET_08_05_065 Available online at http://www.ia aeme.com/ijmet/issues.asp?jtype=ijmet&vtyp

More information

Prototyping of On-chip I2C Module for FPGA Spartan 3A series using Verilog

Prototyping of On-chip I2C Module for FPGA Spartan 3A series using Verilog Prototyping of On-chip I2C Module for FPGA Spartan 3A series using Verilog Ramandeep Singh School of Engineering and Technology, ITM University, Gurgaon, India Neeraj Sharma School of Engineering and Technology,

More information

Serial Buses in Industrial and Automotive Applications

Serial Buses in Industrial and Automotive Applications Serial Buses in Industrial and Automotive Applications Presented by Neelima Chaurasia Class: #368 1 Overview As consumer electronics, computer peripherals, vehicles and industrial applications add embedded

More information

SPI 3-Wire Master (VHDL)

SPI 3-Wire Master (VHDL) SPI 3-Wire Master (VHDL) Code Download Features Introduction Background Port Descriptions Clocking Polarity and Phase Command and Data Widths Transactions Reset Conclusion Contact Code Download spi_3_wire_master.vhd

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Raspberry Pi - I/O Interfaces

Raspberry Pi - I/O Interfaces ECE 1160/2160 Embedded Systems Design Raspberry Pi - I/O Interfaces Wei Gao ECE 1160/2160 Embedded Systems Design 1 I/O Interfaces Parallel I/O and Serial I/O Parallel I/O: multiple input/output simultaneously

More information

Synchronous = SPI (3 options)

Synchronous = SPI (3 options) CS/ECE 6780/5780 Al Davis Today s topics: Last lecture general serial I/O concepts more specifics on asynchronous SCI protocol Today specifics of synchronous SPI details of the SCI programming ritual 1

More information

SPI: Serial Peripheral Interface

SPI: Serial Peripheral Interface ECE3411 Fall 2015 Lab 6c. SPI: Serial Peripheral Interface Marten van Dijk, Syed Kamran Haider Department of Electrical & Computer Engineering University of Connecticut Email: {vandijk, syed.haider}@engr.uconn.edu

More information

AT89S4D12. 8-Bit Microcontroller with 132K Bytes Flash Data Memory AT89S4D12. Features. Description. Pin Configurations

AT89S4D12. 8-Bit Microcontroller with 132K Bytes Flash Data Memory AT89S4D12. Features. Description. Pin Configurations Features Compatible with MCS-51 Products 128K Bytes of In-System Reprogrammable Flash data memory and 4K Bytes of Downloadable Flash Program Memory Endurance: 1,000 Write/Erase Cycles per Sector Data Retention:

More information

DESIGN OF WISHBONE INTERFACED I2CMASTER CORE CONTROLLER USING VERILOG

DESIGN OF WISHBONE INTERFACED I2CMASTER CORE CONTROLLER USING VERILOG DESIGN OF WISHBONE INTERFACED I2CMASTER CORE CONTROLLER USING VERILOG Ramesh Babu Dasara 1, Y. Chandra Sekhar Reddy 2 1 Pursuing M.tech, 2 Assistant Professor, from Nalanda Institute of Engineering and

More information

Lecture 14 Serial Peripheral Interface

Lecture 14 Serial Peripheral Interface www.atomicrhubarb.com/systems Lecture 14 Serial Peripheral Interface Section Topic Where in the books Zilog PS220 "Enhanced Serial Peripheral Interface" Assorted datasheets Synchronous Serial Buses 1-wire

More information

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies CprE 488 Embedded Systems Design Lecture 4 Interfacing Technologies Joseph Zambreno Electrical and Computer Engineering Iowa State University www.ece.iastate.edu/~zambreno rcl.ece.iastate.edu Never trust

More information

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 4.1. Serial data communication basics ----------- 1 4.2. UART ------------------------------------------------ 4 4.3. Serial Peripheral

More information

EE 308: Microcontrollers

EE 308: Microcontrollers EE 308: Microcontrollers Serial Perpherial Interface (SPI) Aly El-Osery Electrical Engineering Department New Mexico Institute of Mining and Technology Socorro, New Mexico, USA April 9, 2018 Aly El-Osery

More information

From Datasheets to Digital Logic. synthesizing an FPGA SPI slave from the gates

From Datasheets to Digital Logic. synthesizing an FPGA SPI slave from the gates From Datasheets to Digital Logic synthesizing an FPGA SPI slave from the gates Joshua Vasquez March 26, 2015 The Road Map Top-Level Goal Motivation What is SPI? SPI Topology SPI Wiring SPI Protocol* Defining

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

Discontinued IP. Slices. LUTs. FFs. Block RAMs. Instantiation

Discontinued IP. Slices. LUTs. FFs. Block RAMs. Instantiation 0 OPB Serial Peripheral Interface (SPI) (v1.00e) DS464 July 21, 2006 0 0 Introduction The Xilinx OPB Serial Peripheral Interface (SPI) connects to the OPB and provides the controller interface to any SPI

More information

Serial Communication. Spring, 2018 Prof. Jungkeun Park

Serial Communication. Spring, 2018 Prof. Jungkeun Park Serial Communication Spring, 2018 Prof. Jungkeun Park Serial Communication Serial communication Transfer of data over a single wire for each direction (send / receive) Process of sending data one bit at

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

Review for Exam 3. Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions

Review for Exam 3. Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions Review for Exam 3 A/D Converter Power-up A/D converter (ATD0CTL2) Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions Write 0x85 to ATD0CTL4 to set at fastest conversion speed

More information

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers February 205 Introduction Reference Design RD73 I2C and SPI are the two widely used bus protocols in today s embedded systems. The I2C bus has a minimum pin count requirement and therefore a smaller footprint

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 4, Issue 6, November - December, 2013, pp. 85-92 IAEME: www.iaeme.com/ijecet.asp

More information

Using the Z8051 MCU s USI Peripheral as an SPI Interface

Using the Z8051 MCU s USI Peripheral as an SPI Interface Using the Z8051 MCU s USI Peripheral as an SPI Interface AN035901-0513 Abstract This document describes how to configure Zilog s Z8051 Universal Serial Interface (USI) peripheral to operate as Serial Peripheral

More information

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. 16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. AditiPandey* Electronics & Communication,University Institute of Technology,

More information

DESIGN AND IMPLEMENTATION OF ADDER ARCHITECTURES AND ANALYSIS OF PERFORMANCE METRICS

DESIGN AND IMPLEMENTATION OF ADDER ARCHITECTURES AND ANALYSIS OF PERFORMANCE METRICS International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 8, Issue 5, September-October 2017, pp. 1 6, Article ID: IJECET_08_05_001 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=8&itype=5

More information

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip Mukthi. S. L 1 Dr. A. R. Aswatha 2 1Department of Electrical & Electronics Engineering, Jain University,

More information

An Efficient Designing of I2C Bus Controller Using Verilog

An Efficient Designing of I2C Bus Controller Using Verilog American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Real Time Embedded Systems. Lecture 1 January 17, 2012

Real Time Embedded Systems.  Lecture 1 January 17, 2012 SPI 4-Wire 3-Wire Real Time Embedded Systems www.atomicrhubarb.com/embedded Lecture 1 January 17, 2012 Topic Section Topic Where in the books Catsoulis chapter/page Simon chapter/page Zilog UM197 (ZNEO

More information

Growing Together Globally Serial Communication Design In Embedded System

Growing Together Globally Serial Communication Design In Embedded System Growing Together Globally Serial Communication Design In Embedded System Contents Serial communication introduction......... 01 The advantages of serial design......... 02 RS232 interface......... 04 RS422

More information

Introduction to I2C & SPI. Chapter 22

Introduction to I2C & SPI. Chapter 22 Introduction to I2C & SPI Chapter 22 Issues with Asynch. Communication Protocols Asynchronous Communications Devices must agree ahead of time on a data rate The two devices must also have clocks that are

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

PIC Serial Peripheral Interface (SPI) to Digital Pot

PIC Serial Peripheral Interface (SPI) to Digital Pot Name Lab Section PIC Serial Peripheral Interface (SPI) to Digital Pot Lab 7 Introduction: SPI is a popular synchronous serial communication protocol that allows ICs to communicate over short distances

More information

University, Patiala, Punjab, India 1 2

University, Patiala, Punjab, India 1 2 1102 Design and Implementation of Efficient Adder based Floating Point Multiplier LOKESH BHARDWAJ 1, SAKSHI BAJAJ 2 1 Student, M.tech, VLSI, 2 Assistant Professor,Electronics and Communication Engineering

More information

FPGA Implementation of A Pipelined MIPS Soft Core Processor

FPGA Implementation of A Pipelined MIPS Soft Core Processor FPGA Implementation of A Pipelined MIPS Soft Core Processor Lakshmi S.S 1, Chandrasekhar N.S 2 P.G. Student, Department of Electronics and Communication Engineering, DBIT, Bangalore, India 1 Assistant

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

FPGA Implementation of I2C and SPI Protocols using VHDL

FPGA Implementation of I2C and SPI Protocols using VHDL FPGA Implementation of I2C and SPI Protocols using VHDL Satish M Ghuse 1, Prof. Surendra K. Waghmare 2 1, 2 Department of ENTC 1, 2 SPPU/G.H.Raisoni College of Engineering and Management, Pune, Maharashtra/Zone,

More information

For reference only Refer to the latest documents for details

For reference only Refer to the latest documents for details STM32F3 Technical Training For reference only Refer to the latest documents for details Serial peripheral interface SPI 3 SPI Features (1/2) 3 Full duplex synchronous transfers (3 lines) Half duplex/simplex

More information

< W3150A+ / W5100 Application Note for SPI >

< W3150A+ / W5100 Application Note for SPI > < W3150A+ / W5100 Application Note for SPI > Introduction This application note describes how to set up the SPI in W3150A+ or W5100. Both the W3150A+ and W5100 have same architecture. W5100 is operated

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

Section 5 SERCOM. Tasks SPI. In this section you will learn:

Section 5 SERCOM. Tasks SPI. In this section you will learn: Section 5 SERCOM SPI Tasks In this section you will learn: SPI protocol SERCOM Engine on SAMD20 How to use SERRCOM in SPI mode Implementation of SPI communication 04/12/2013 Table of Contents 1. The SPI

More information

DQSPI IP Core. Serial Peripheral Interface Master/Slave with single, dual and quad SPI Bus support v. 2.01

DQSPI IP Core. Serial Peripheral Interface Master/Slave with single, dual and quad SPI Bus support v. 2.01 017 DQSPI IP Core Serial Peripheral Interface Master/Slave with single, dual and quad SPI Bus support v..01 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a System-on-Chip

More information

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 5 Revision History 8 Version 2.3.2 - Confidential 2 of 8 2011 EnSilica Ltd, All Rights

More information

Design of AMBA Based AHB2APB Bridge

Design of AMBA Based AHB2APB Bridge 14 Design of AMBA Based AHB2APB Bridge Vani.R.M and M.Roopa, Reader and Head University Science Instrumentation Center, Gulbarga University, Gulbarga, INDIA Assistant Professor in the Department of Electronics

More information

Optimal Implementation Of UART_SPI Controller and Slave Interface With Master.

Optimal Implementation Of UART_SPI Controller and Slave Interface With Master. Optimal Implementation Of UART_SPI Controller and Slave Interface With Master. Asst.Professor Ms. Sneha Nagar and Ms. pratima sharma Department of Electronics and Communication Engineering, Oriental University,

More information

Documentation. Design File Formats. Constraints Files. Verification. Slices 1 IOB 2 GCLK BRAM

Documentation. Design File Formats. Constraints Files. Verification. Slices 1 IOB 2 GCLK BRAM DES and DES3 Encryption Engine (MC-XIL-DES) May 19, 2008 Product Specification AllianceCORE Facts 10805 Rancho Bernardo Road Suite 110 San Diego, California 92127 USA Phone: (858) 385-7652 Fax: (858) 385-7770

More information

SystemVerilog Verification of Wishbone- Compliant Serial Peripheral Interface

SystemVerilog Verification of Wishbone- Compliant Serial Peripheral Interface Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 12-2017 SystemVerilog Verification of Wishbone- Compliant Serial Peripheral Interface Avinash Srinivasan as7409@rit.edu

More information

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core SPI description: http://en.wikipedia.org/wiki/serial_peripheral_interface_bus References you may need: PicoBlaze KCPSM6 User Manual

More information

Novel Design of Dual Core RISC Architecture Implementation

Novel Design of Dual Core RISC Architecture Implementation Journal From the SelectedWorks of Kirat Pal Singh Spring May 18, 2015 Novel Design of Dual Core RISC Architecture Implementation Akshatha Rai K, VTU University, MITE, Moodbidri, Karnataka Basavaraj H J,

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n October 2012 Reference Design RD1138 Introduction The Universal Asynchronous Receiver/Transmitter (UART) performs serial-to-parallel conversion on data characters received from a peripheral device or a

More information

ISSN Vol.03,Issue.29 October-2014, Pages:

ISSN Vol.03,Issue.29 October-2014, Pages: ISSN 2319-8885 Vol.03,Issue.29 October-2014, Pages:5891-5895 www.ijsetr.com Development of Verification Environment for SPI using OVM K.NAGARJUNA 1, K. PADMAJA DEVI 2 1 PG Scholar, Dept of ECE, TKR College

More information

Addressing scheme to address a specific devices on a multi device bus Enable unaddressed devices to automatically ignore all frames

Addressing scheme to address a specific devices on a multi device bus Enable unaddressed devices to automatically ignore all frames 23. USART 23.1 Features Full-duplex operation Asynchronous or synchronous operation Synchronous clock rates up to 1/2 of the device clock frequency Asynchronous clock rates up to 1/8 of the device clock

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

Fig.1. Floating point number representation of single-precision (32-bit). Floating point number representation in double-precision (64-bit) format:

Fig.1. Floating point number representation of single-precision (32-bit). Floating point number representation in double-precision (64-bit) format: 1313 DESIGN AND PERFORMANCE ANALYSIS OF DOUBLE- PRECISION FLOATING POINT MULTIPLIER USING URDHVA TIRYAGBHYAM SUTRA Y SRINIVASA RAO 1, T SUBHASHINI 2, K RAMBABU 3 P.G Student 1, Assistant Professor 2, Assistant

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Introduction to Serial Communication. ECE/CS 5780/6780: Embedded System Design. A Serial Channel. Definitions. SCI versus SPI.

Introduction to Serial Communication. ECE/CS 5780/6780: Embedded System Design. A Serial Channel. Definitions. SCI versus SPI. Introduction to Serial Communication ECE/CS 5780/6780: Embedded System Design Chris J. Myers Lecture 14: Serial I/O Devices Serial communication transmits of one bit of information at a time. One bit is

More information

Asynchronous & Synchronous Serial Communications Interface. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name

Asynchronous & Synchronous Serial Communications Interface. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name MPS Serial Communication Lab Exercise Asynchronous & Synchronous Serial Communications Interface Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name Notes: You must work on

More information

SHA3 Core Specification. Author: Homer Hsing

SHA3 Core Specification. Author: Homer Hsing SHA3 Core Specification Author: Homer Hsing homer.hsing@gmail.com Rev. 0.1 January 29, 2013 This page has been intentionally left blank. www.opencores.org Rev 0.1 ii Rev. Date Author Description 0.1 01/29/2013

More information

LogiCORE IP AXI Quad Serial Peripheral Interface (AXI Quad SPI) (v1.00a)

LogiCORE IP AXI Quad Serial Peripheral Interface (AXI Quad SPI) (v1.00a) LogiCORE IP AXI Quad Serial Peripheral Interface (AXI Quad SPI) (v1.00a) DS843 October 19, 2011 Introduction The AXI Quad Serial Peripheral Interface connects the AXI4 interface to SPI slave devices that

More information

DataFlash. Application Note. Using Atmel s DataFlash. Introduction (AN-4)

DataFlash. Application Note. Using Atmel s DataFlash. Introduction (AN-4) Using Atmel s DataFlash Introduction In the past, engineers have struggled to use Flash memory for data storage applications. The traditional Flash memory devices, with their large page sizes of 4K to

More information

Part 1 Using Serial EEPROMs

Part 1 Using Serial EEPROMs Part 1 Using Serial EEPROMs copyright 1997, 1999 by Jan Axelson If you have a project that needs a modest amount of nonvolatile, read/write memory, serial EEPROM may be the answer. These tiny and inexpensive

More information