The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK

Size: px
Start display at page:

Download "The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK"

Transcription

1 The DSP Primer 8 FPGA Technology Return DSPprimer Home Return DSPprimer Notes August 2005, University of Strathclyde, Scotland, UK For Academic Use Only

2 THIS SLIDE IS BLANK

3 August 2005, For Academic Use Only, All Rights Reserved Introduction 8.1 This module will give a top-down overview of FPGA Technology based on various Xilinx devices; At the end of the section, the following will have been covered: Top FPGA Technology Roadmap and the various devices available - how FPGAs are progressing and what might lie ahead; Performance and flexibility - how FPGAs compare to DSP Processors and ASICs and why FPGAs have the advantage; FPGA Structure - a top down look at what an FPGA consists of down to the low level elements; Introduction to the FPGA design flow - an indication of the engineering process required to implement a design; How the digital logic of a design actually operates within the FPGA; Why pipelining and flip-flops/registers are free and are required for high clock rates; Memory available to designers within FPGAs and the different types/options available; How signals and clocks are effectively routed throughout the device; Input/Output interfacing capabilities of FPGAs; Dedicated arithmetic hardware.

4 Notes:

5 August 2005, For Academic Use Only, All Rights Reserved FPGA Technology Trends 8.2 General trend is bigger and faster; Top This is being achieved by increases in device density through ever smaller fabrication process technology; New generations of FPGAs are geared towards implementing entire systems on a single device; Features such as RAM, dedicated arithmetic hardware, clock management and transceivers are available in addition to the main programmable logic; FPGAs are also available with embedded processors (embedded in silicon or as cores within the programmable logic fabric);

6 Notes: FPGAs are being incorporated as central processing elements in many applications such as consumer electronics, automotive, image/video processing, military/aerospace, base-stations, networking/ communications, supercomputing and wireless applications. The inclusion of embedded (i.e. actually present in silicon - not as soft IP) Power PC processors in recent Xilinx devices makes design partitioning and implementing much easier. Many low-speed algorithms that involve a lot of decision making and jumps in execution are more suited to implementation by microprocessor than FPGA. The inclusion of the Power PC blocks by Xilinx is an acknowledgement of this and goes a long way to making the System on an FPGA goal possible. Manufacturers may also provide embedded processors as soft IP cores. These cores are implemented on the main programmable logic fabric and associated development kits allow designers to write code to be executed. Features such as dedicated arithmetic hardware, clock management and multi-standard, high speed I/O blocks all assist the engineer in implementing a given design. Problems associated with such features that plague ASIC (Application Specific Integrated Circuit) designers such as clock skew have all been solved by the FPGA manufacturer and can be essentially ignored by the FPGA engineer.

7 August 2005, For Academic Use Only, All Rights Reserved FPGA Families 8.3 Flagship FPGA families (e.g. Xilinx Virtex-4) are aimed at implementing large systems on a single device; Flagship families are the biggest and most expensive and are not aimed at high volume applications where cost is a primary factor; High volume applications (i.e. where an ASIC would traditionally have been used) are catered for by cheaper FPGA families (e.g. Xilinx Spartan-3); High volume devices often contain the same features offered by the flagship devices at a smaller scale to control costs; Within FPGA families, multiple device sizes are available at scaling costs with associated scaling of features such as logic fabric, RAM, I/O pins, arithmetic hardware etc. Top

8 Notes: Often, low cost, high volume FPGA families are derived directly from larger families making the design process more familiar (e.g. Spartan-3 from Virtex-II, Spartan-II from Virtex) Each FPGA family comes in different sizes/packages and speed grades. The exact device required will depend on factors related to requirements of the target design/application such as: Area; Data/sampling rates; Input/Outputs and associated data rates; Memory required; Requirement for embedded processor or not; Cost ($$$).

9 August 2005, For Academic Use Only, All Rights Reserved FPGA Performance and Flexibility (I) 8.4 Performance of FPGAs is difficult to quantify because algorithms/ systems can be flexibly implemented in many different ways; Multiply Accumulate (MAC) performance on flagship devices from Xilinx is in the region of hundreds of GMACs per second running at speeds of a few hundred MHz; FPGA manufacturers often give figures for maximum MAC/s using every piece of logic capable of multiplication - this of course does not reflect typical systems implemented on FPGAs; What is clear is that, due to parallelism, FPGAs easily outperform DSP Processors in terms of data/arithmetic throughput and flexibility; DSP Processors still have their place though - their design flow is better understood within the engineering community and some baseband algorithms do not yet map well to the FPGA fabric; Top

10 Notes: MIPS (Millions of Instructions Per Second or perhaps Meaningless Indicator Of Performance) is often used to compare DSP Processors but cannot be used to quantify overall FPGA performance. The problem is that FPGAs are flexible enough to implement algorithms in different ways to suit the requirements of a particular application. For example, an application that requires 10 MACs (Multiply Accumulates) can be implemented on an FPGA or a DSP processor. The FPGA could implement the hardware to perform the 10 MACs one after the other in serial taking 10 clock cycles or in parallel, taking 1 clock cycle. Indeed it is possible to perform the 10 MACs in 5 clock cycles, or 2 clock cycles - as required. A DSP Processor does not have as much flexibility. Why is this flexibility useful? The reason is because, if the 10 MACs must be performed quickly, the FPGA can use a lot of area and perform them in parallel in 1 clock cycle and if the 10 MACs can be done slowly (defined by the system performance requirements), the FPGA can perform them serially using a 10th of the area but taking 10 clock cycles - i.e. the FPGA hardware implementation can be tailored to the application and take advantage of the application requirements/specification. In this way, speed and area can be traded when implementing on FPGA - DSP processors do not have this option. It should also be noted that it is very unlikely that anyone would ever implement an FPGA design that consisted only of multipliers! Figures given by manufacturers are merely intended to give an idea of the potential performance of these devices and by how far they outperform DSP Processors (considerably!)

11 August 2005, For Academic Use Only, All Rights Reserved FPGA Performance and Flexibility (II) 8.5 Top

12 Notes: More on DSP Processors vs FPGAs. It must be remembered that an FPGA is still an ASIC - Xilinx. are manufacturers of FPGAs but they are still fully custom integrated circuits at the end of the day - even though they are a special case due to the fact they are highly programmable... DSP Processors are also ASICs and as ASIC process technology improves and chips get faster, DSP Processors will get faster... but so will FPGAs because they are ASICs too! FPGAs already hold a performance advantage gap over DSP Processors and this gap will not close as silicon processes get better. Diagram: FPGAs: DSP for Consumer Digital Video Applications, Xilinx, collateral/fpga_dsp_adv_in_dvt.pdf

13 August 2005, For Academic Use Only, All Rights Reserved FPGA Performance and Flexibility (III) 8.6 Top

14 Notes: A rather hand-wavy diagram that gives an indication of where FPGAs lie in the grand scheme of things in relation to Custom ICs (ASICs) and DSP Processors. The surge in FPGA use by manufacturers of electronic systems does seem to indicate that this diagram is close to the mark however. The costs and time involved in manufacturing ASICs are prohibitive (especially if bugs are found) when a designer can have a design running in hardware on an FPGA at their desk and iterate the design as many times as required with no expensive fabrication in sight! Diagram: FPGAs: DSP for Consumer Digital Video Applications, Xilinx, collateral/fpga_dsp_adv_in_dvt.pdf

15 August 2005, For Academic Use Only, All Rights Reserved FPGA Design Flow 8.7 This is a highly simplified overview of the Xilinx FPGA design flow; Top Numerous file format conversions occur between the many pieces of software; The engineer can control and influence all stages of the process via constraints and options; The FPGA market contains many companies that produce software tools for various stages of the flow; The final bitstream configures every part of the device required for the implemented design.

16 Notes: A more detailed design flow is given below - this doesn t even show all of the possible stages although it does contain most! It may become clear why the FPGA design flow produces so many files and directories when you consider all of the processes below. Several stages are grouped/automated and can be run by the highlevel software tools if desired. The engineer usually has the option of running each stage manually however! Flow diagrams: Xilinx Software Manuals, manuals.htm

17 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Pro FPGA Architecture 8.8 High-level, generic view of the Xilinx Virtex-II Pro family; Top As device size increases, so does the amount of available resources such as embedded multipliers, processors and configurable logic; The CLBs (Configurable Logic Blocks) form the main programmable fabric of the device; DCMs (Digital Clock Managers) solve clock management issues such as skew, phase shifting and division; Larger devices also contain more user I/O pins and I/O functionality.

18 Notes: An FPGA is rather abstract looking and it may not appear obvious how a user design maps to the actual hardware. Luckily, the software tools can take care of a lot of the complexity of doing this once the user has defined their design. There is still a considerable amount of work for the engineer however and this is especially true when pushing the limits of the hardware - at this point the software tools may not do a good enough job and the engineer must get in and around the nuts and bolts themselves! Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

19 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Configurable Logic Blocks 8.9 One Xilinx Virtex-II CLB contains four slices (Virtex/Spartan series have two slices per CLB); Top Any digital logic design can be implemented within the slice logic housed by the CLBs; Slices are interconnected within their CLBs and via the switch matrix that links CLBs together; The Cin and Cout signals are significant because they are highly useful for implementing arithmetic functions. Two independent Cin/ Cout columns exist per CLB column; One slice can implement a 2-bit full adder so one CLB can implement two independent 4-bit full adders as part of a larger bit-width calculation with other CLBs as required.

20 Notes: Once the user has entered their design (via VHDL/Verilog for example), the Synthesis process takes the design and works out how to implement it on the elements of a specific FPGA. The engineer specifies exactly which device to target (i.e. manufacturer, family, size, package type, speed grade). The synthesis process is a complex one that can turn any synthesiseable VHDL/Verilog into a form that can be taken to FPGA by further software tools. In the case of Xilinx, the Synthesis tool will decide how to perform the digital logic operations of the design using the slice logic available. The FPGA manufacturer tools then take the design through many more stages in order to get the design into a form from which a bitstream is produced that can be downloaded to an FPGA to configure it. Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

21 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Slices (I) 8.10 The majority of user-design functionality will be implemented by the slices contained by the CLBs; Top For this reason, the primary measure of Xilinx FPGA device size is the number of slices present; Many interconnection possibilities exist between slice elements (connections and many elements not shown here); The Look Up Tables (LUTs) implement any 4-input boolean function - the majority of a user digital logic design will be implemented using the 4-input LUTs to perform the actual logic operations; LUTs can also be used as Shift-Registers or RAM - discussed later.

22 Notes: Xilinx slices are where the actual work that implements the user design happens. The different elements can be interconnected in different ways as determined by the configuration bitstream. The number of slices available on a device essentially determine its capacity since this is where it all happens! Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

23 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Slices (II) 8.11 The registers provide the means of implementing synchronous logic; Registers are vital when designing for high clock rates - failure to use them will not yield high speed performance; The multiplexers and CY components provide some of the routing possibilities for signals through the slice (shown in more detail later); The Arithmetic Logic AND gate at the bottom has been included to make implementing multiplication more efficient. Top

24 Notes: Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

25 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Slice (top half) 8.12 Top

26 Notes: All of the interconnections and components are shown. The software tools will take care of configuring every required element/connection - the user can also do so manually if required! When the FPGA is configured with a bitstream (generated by the software tools), the contents of the LUTs and the routing between the slice elements is defined - forming the user design. The bitstream will also configure the connection between slices/clbs etc. Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

27 August 2005, For Academic Use Only, All Rights Reserved Registers and Pipelining 8.13 Possible FPGA clock rate is limited by the longest path between registers because the signals must travel further through LUTS/wires; Using the free slice registers keeps the longest path as short as possible and hence the possible clock rate as high as possible. Without Pipelining Top D Q LUT LUT LUT D Q Slow Clock With Pipelining Longest/Critical Path D Q D Q LUT LUT D Q LUT D Q Fast Clock

28 Notes: This is one of the fundamental design principles of FPGA design and must be understood. On each clock edge, signals must travel through their data path via routing lines, LUTs, MUXes etc. before arriving at the next flip-flop. This happens to signals within a design all over the device on every clock edge. Some signals will have further to travel than others and the longest (time) path between two flip-flops/registers is known as the critical path. It should be noted that the flip-flops are essentially free because every LUT is paired with a flip-flop that can register the LUT output as required. It is this critical path that will determine the maximum clock rate that the FPGA can be clocked at. Remember that the user can choose the clock rate arbitrarily as required. If the critical path is too long, the design may not be able to be clocked fast enough to meet the specification of the application! In this case, the engineer must return to the software tools/their design and try and make the design run faster. This may be achieved by for example: pipelining, redesign, increasing the effort level of the software tools, adding/removing design constraints or manually editing the design in order to optimise the hardware and reduce the length of the critical path! It should be noted that this is the most difficult part of FPGA design - what to do if a design does not meet timing! There are many options for the engineer to try and knowing which one(s) to use (and how to use them) can be a bit of a black art...

29 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Block RAM 8.14 Xilinx Virtex-II devices have dedicated 18 Kb (Kilo-bit) Block RAMs throughout the device; Top One of the largest Virtex-II Pro (XC2VP125) has 556 Block RAMs and so 556 * 18 = 10,008 Kb of Block RAM in total; Block RAM can be written at device configuration time or written/read during operation; Block RAM can be single or dual port - i.e. one address gives 2 pieces of data - excellent for DSP (sample and coefficient for ex.).

30 Notes: Engineers specify how they want to use the RAM components from within their VHDL/Verilog code - the software tools then ensure that the actual hardware is made available to the design. An example of using Block RAM could be to store the numeric values required to modulate a signal by a sine wave. Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

31 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Distributed RAM 8.15 A LUT can store 16 bits and can be used as a 16x1 RAM; Top Two LUTs can form one 32x1 single-port RAM or one 16x1 dualport RAM - i.e. the same address produces data from both RAMs; This flexibility allows several single/ dual port RAM configurations of the 128 bits available within one CLB (4 slices * 2 LUTs * 16 bits = 128); The ability to create small RAMs anywhere on the device is extremely useful - especially for DSP purposes. A Virtex-II Pro with 55,616 slices therefore has 55,616 * 2 LUTs * 16 bits = 1,738 Kb of Distributed RAM;

32 Notes: An example of using a small distributed RAM could be a chipping sequence for use in a communications system. The sequence would be stored where it is needed to chip data as it proceeds through the system. The ability to form larger single/dual port configurations from the smaller ones is further testament to FPGA flexibility - distributed RAMs need only be as large as required. Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

33 August 2005, For Academic Use Only, All Rights Reserved Shift Registers 8.16 Xilinx LUTs can implement a 16-bit shift register (called an SRL16) and when combined with the register available to every LUT, 17 delays are possible in one half of a slice; Shift registers can be cascaded to form longer delays; The delay can be tapped at any point using the address lines to create delay lines of length less than the maximum. Top D Q D Q A3 A2 A1 A0 CLK Shift Reg CLK

34 Notes: The diagram opposite shows the SRL16s being cascaded to form a larger delay line. Note the flexibility of the Xilinx LUTs - this is the 3rd mode they can operate in addition to LUT/RAM. Diagram opposite: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx,

35 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-4 DSP48 Slice 8.17 The Xilinx Virtex-4 DSP48 slice offers custom DSP functionality; Top 500MHz throughput However, the Transposed/ Systolic FIR structures map more effectively in this case; Summation feedback is also available for serial implementations;

36 Notes: The Virtex-4 DSP48 slice caters for two types of full-parallel FIR - Systolic and Transposed. The Systolic structure allows the highest performance due to maximum pipelining and no high input signal fanout. The Transposed structure has a fixed, low latency compared to the Systolic (whose latency increases with filter length) but the input signal fanout can limit performance, especially for large filters. Both architectures can be entirely implemented within DSP48 slices with no external logic. Full-Parallel Transposed FIR Full-Parallel Systolic FIR Diagrams: XtremeDSP Design Considerations User Guide,

37 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Embedded Multipliers 8.18 Embedded multipliers are arranged in columns between CLBs; Multipliers are 18 x 18 bit and are associated with BlockRAM for easy access to data; Can be combinatorial or pipelined running at over 300MHz; Combining embedded multipliers with LUT implemented accumulators allows MAC engines to be created (e.g. for use in filters); Cascade multipliers to implement larger width multiplications. Top

38 Notes: Each embedded multiplier is associated with an adjacent BlockRAM and hence these elements share interconnect. When the multiplier is being used without the associated BlockRAM, the BlockRAM can still be used but with only 18 bits. Again, multipliers can be implemented in the main fabric as required using purely slice logic or combining BlockRAM and slice implemented multiplier blocks. This may be necessary if no embedded multipliers are available or the design timing requirements are tight.

39 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II Routing 8.19 Xilinx Virtex-II series contains a multitude of routing that connects the elements of the device together; The configurable routing between CLBs (via the switch matrices) is complemented by dedicated routing for clock signals, carry chains etc. Top

40 Notes: Routing signals around the device is usually left to the tools to implement. There is a massive number of possibilities to implement a design on an FPGA and the software tools may take many hours to actually produce a bitstream for a reasonable design. The routing possibilities are described as being hierarchical due to the fact that different routing options are available depending on how far a signal has to travel. Clearly, keeping signals to as short routing distances as possible is preferable to ensure high clock rates. The dedicated clock distribution lines are of special importance because when combined with the DCM (Digital Clock Management) blocks, they allow for high speed clocks to be fed throughout the device with no skew. Diagram: Virtex-II Pro Platform FPGA Complete Data Sheet, Xilinx, ds083.pdf

41 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-II I/O 8.20 FPGAs are capable of interfacing with backplanes, buses and other systems at a board/system level; Top A multitude of current and emerging serial/parallel I/O standards are supported; In Virtex-II, up to 24 RocketIO Serial Transceiver blocks are available operating at full-duplex speeds of up 3.125Gb/s each; Also, in Virtex-II, user I/O pins support many single-ended and differential signalling standards up to 840 Mbps LVDS (Low-Voltage Differential Signalling); Virtex-II Pro X family supports up to 20 channels at Gbp/s.

42 Notes: Getting signals into and out of FPGAs requires high speed signals to be routed into and out of the device on some sort of board that houses the overall system and the FPGA(s). The usual board-level difficulties with signal cross-talk, inductance, resonance etc. still exist but interfacing the FPGA to the board signals is quite achievable given the number of supported I/O standards: The Virtex-II devices have dedicated RocketIO blocks to deal with high speed I/O requirements and many more general Select I/O pins for other interfaces. The specific formats supported by each are given below: Supported standards from:

43 August 2005, For Academic Use Only, All Rights Reserved Xilinx ASMBL Architecture 8.21 Advanced Silicon Modular Block - basis of Virtex-4; Column based architecture with focused column types; Mixing column types in different ratios allows application domains with differing logic resource requirements to be more accurately targeted; Individual resource types (e.g. DSP/memory) can be scaled independently of the die size; Current FPGA architectures scale resource types primarily only with die size. Top

44 Notes: Trivia: ASMBL was renamed to Advanced Silicon Modular Block from Application Specific Modular Block. The diagram below further illustrates how logic resources/features can be scaled independently of die size compared to traditional FPGA architectures. Xilinx see ASMBL as the next stage in programmable logic evolution. Diagrams: ASMBL Press Kit, Xilinx,

45 August 2005, For Academic Use Only, All Rights Reserved Xilinx Virtex-4 Platforms 8.22 Top Designers can select the most appropriate device according to feature requirements and cost; DSP is now a major focus industry-wide!

46 Notes:

47 August 2005, For Academic Use Only, All Rights Reserved Conclusion 8.23 This module has presented an overview of FPGA technology to give a high-level understanding of: What features cutting-edge FPGAs contain and the general trend of larger, faster and more features to support entire systems being implemented on FPGAs (e.g. I/O Transceivers, DSP blocks); Why FPGAs provide performance and flexibility advantages over DSP Processors and ASICs due to infinite reconfigurability, trading area for speed and performing operations in parallel as required; Why FPGA performance is difficult to measure due to their inherent flexibility; How the FPGA structure is generally organised hierarchically into CLBs/LABs, slices/les and elements such as LUTS/RAMs/SRL16s, MUXes and flip-flops and how these elements are used/combined to implement a design; The memory available on FPGAs; Dedicated arithmetic hardware and the various configurations available; The hierarchical routing lines that connect blocks together across the device and provide clock routing; The complexity of the FPGA design flow and the number of software tools and processes that can be involved; The various I/O standards available to allow FPGAs to interface with high-speed signals via board signals/buses/backplanes etc. Why flip-flops are free (they exist beside the LUTs anyway) and how they allow high clock rates. Top

48 Notes:

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Parallel FIR Filters. Chapter 5

Parallel FIR Filters. Chapter 5 Chapter 5 Parallel FIR Filters This chapter describes the implementation of high-performance, parallel, full-precision FIR filters using the DSP48 slice in a Virtex-4 device. ecause the Virtex-4 architecture

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture FPGA Architecture Overview dr chris dick dsp chief architect wireless and signal processing group xilinx inc. Generic FPGA Architecture () Generic FPGA architecture consists of an array of logic tiles

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

7-Series Architecture Overview

7-Series Architecture Overview 7-Series Architecture Overview Zynq Vivado 2013.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe the

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

Xilinx DSP. High Performance Signal Processing. January 1998

Xilinx DSP. High Performance Signal Processing. January 1998 DSP High Performance Signal Processing January 1998 New High Performance DSP Alternative New advantages in FPGA technology and tools: DSP offers a new alternative to ASICs, fixed function DSP devices,

More information

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions White Paper: Spartan-3 FPGAs WP212 (v1.0) March 18, 2004 DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions By: Steve Zack, Signal Processing Engineer Suhel Dhanani, Senior

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca, 26-28, Bariţiu St., 3400 Cluj-Napoca,

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

DSP Resources. Main features: 1 adder-subtractor, 1 multiplier, 1 add/sub/logic ALU, 1 comparator, several pipeline stages

DSP Resources. Main features: 1 adder-subtractor, 1 multiplier, 1 add/sub/logic ALU, 1 comparator, several pipeline stages DSP Resources Specialized FPGA columns for complex arithmetic functionality DSP48 Tile: two DSP48 slices, interconnect Each DSP48 is a self-contained arithmeticlogical unit with add/sub/multiply/logic

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

TSEA44 - Design for FPGAs

TSEA44 - Design for FPGAs 2015-11-24 Now for something else... Adapting designs to FPGAs Why? Clock frequency Area Power Target FPGA architecture: Xilinx FPGAs with 4 input LUTs (such as Virtex-II) Determining the maximum frequency

More information

FPGA Matrix Multiplier

FPGA Matrix Multiplier FPGA Matrix Multiplier In Hwan Baek Henri Samueli School of Engineering and Applied Science University of California Los Angeles Los Angeles, California Email: chris.inhwan.baek@gmail.com David Boeck Henri

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

discrete logic do not

discrete logic do not Welcome to my second year course on Digital Electronics. You will find that the slides are supported by notes embedded with the Powerpoint presentations. All my teaching materials are also available on

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing 3-. 3-.2 Learning Outcomes Spiral 3 Hardware/Software Interfacing I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides the agreement

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

Introduction to Modern FPGAs

Introduction to Modern FPGAs Introduction to Modern FPGAs Arturo Díaz Pérez Centro de Investigación y de Estudios Avanzados del IPN Departamento de Ingeniería Eléctrica Sección de Computación adiaz@cs.cinvestav.mx Outline Technology

More information

Architecture and Partitioning - Architecture

Architecture and Partitioning - Architecture Architecture and Partitioning - Architecture Architecture Management, Marketing and Senior Project Engineers work together to define product requirements. The product requirements will set cost, size and

More information

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs ECE 645: Lecture Basic Adders and Counters Implementation of Adders in FPGAs Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design Chapter 5, Basic Addition and Counting,

More information

Introduction to FPGA Design with Vivado High-Level Synthesis. UG998 (v1.0) July 2, 2013

Introduction to FPGA Design with Vivado High-Level Synthesis. UG998 (v1.0) July 2, 2013 Introduction to FPGA Design with Vivado High-Level Synthesis Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

Reduction of Latency and Resource Usage in Bit-Level Pipelined Data Paths for FPGAs

Reduction of Latency and Resource Usage in Bit-Level Pipelined Data Paths for FPGAs Reduction of Latency and Resource Usage in Bit-Level Pipelined Data Paths for FPGAs P. Kollig B. M. Al-Hashimi School of Engineering and Advanced echnology Staffordshire University Beaconside, Stafford

More information

High-Performance Integer Factoring with Reconfigurable Devices

High-Performance Integer Factoring with Reconfigurable Devices FPL 2010, Milan, August 31st September 2nd, 2010 High-Performance Integer Factoring with Reconfigurable Devices Ralf Zimmermann, Tim Güneysu, Christof Paar Horst Görtz Institute for IT-Security Ruhr-University

More information

FPGA How do they work?

FPGA How do they work? ent FPGA How do they work? ETI135, Advanced Digital IC Design What is a FPGA? Manufacturers Distributed RAM History FPGA vs ASIC FPGA and Microprocessors Alternatives to FPGAs Anders Skoog, Stefan Granlund

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Spiral 3-1. Hardware/Software Interfacing

Spiral 3-1. Hardware/Software Interfacing 3-1.1 Spiral 3-1 Hardware/Software Interfacing 3-1.2 Learning Outcomes I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

Virtex-4 Family Overview

Virtex-4 Family Overview Virtex-4 User Guide 0 Virtex-4 Family Overview DS112 (v1.1) September 10, 2004 0 0 General Description The Virtex-4 Family is the newest generation FPGA from Xilinx. The innovative Advanced Silicon Modular

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

XA Spartan-6 Automotive FPGA Family Overview

XA Spartan-6 Automotive FPGA Family Overview 10 XA Spartan-6 Automotive FPGA Family Overview Product Specification General Description The Xilinx Automotive (XA) Spartan -6 family of FPGAs provides leading system integration capabilities with the

More information

FPGA Technology and Industry Experience

FPGA Technology and Industry Experience FPGA Technology and Industry Experience Guest Lecture at HSLU, Horw (Lucerne) May 24 2012 Oliver Brndler, FPGA Design Center, Enclustra GmbH Silvio Ziegler, FPGA Design Center, Enclustra GmbH Content Enclustra

More information

MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS

MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS August 2011 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

FPGAs: Instant Access

FPGAs: Instant Access FPGAs: Instant Access Clive"Max"Maxfield AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO % ELSEVIER Newnes is an imprint of Elsevier Newnes Contents

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

EECS150 - Digital Design Lecture 16 - Memory

EECS150 - Digital Design Lecture 16 - Memory EECS150 - Digital Design Lecture 16 - Memory October 17, 2002 John Wawrzynek Fall 2002 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: data & program storage general purpose registers buffering table lookups

More information

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM CHAPTER 4 IMPLEMENTATION OF DIGITAL UPCONVERTER AND DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM 4.1 Introduction FPGAs provide an ideal implementation platform for developing broadband wireless systems such

More information

Reconfigurable Hardware Implementation of Mesh Routing in the Number Field Sieve Factorization

Reconfigurable Hardware Implementation of Mesh Routing in the Number Field Sieve Factorization Reconfigurable Hardware Implementation of Mesh Routing in the Number Field Sieve Factorization Sashisu Bajracharya, Deapesh Misra, Kris Gaj George Mason University Tarek El-Ghazawi The George Washington

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Graduate course on FPGA design

Graduate course on FPGA design History of and programmable logic February 15, 2010 History of and programmable logic History of and programmable logic History of and programmable logic Course planning Lectures Laboration 4 HP for actively

More information

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware?

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware? Part II CST: SoC D/M: Quick exercises S3-S4 (examples sheet) Feb 2018 (rev a). This sheet contains short exercises for quick revision. Please also look at past exam questions and/or try some of the longer

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

EE178 Spring 2018 Lecture Module 4. Eric Crabill

EE178 Spring 2018 Lecture Module 4. Eric Crabill EE178 Spring 2018 Lecture Module 4 Eric Crabill Goals Implementation tradeoffs Design variables: throughput, latency, area Pipelining for throughput Retiming for throughput and latency Interleaving for

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Programmable Logic. Simple Programmable Logic Devices

Programmable Logic. Simple Programmable Logic Devices Programmable Logic SM098 Computation Structures - Programmable Logic Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL architectures Implements

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007 EECS 5 - Components and Design Techniques for Digital Systems Lec 2 RTL Design Optimization /6/27 Shauki Elassaad Electrical Engineering and Computer Sciences University of California, Berkeley Slides

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 2/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Digital Signal

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

Multiplier Generator V6.0. Features

Multiplier Generator V6.0. Features November 1, 2002 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in module for Virtex,

More information

Fast implementation and fair comparison of the final candidates for Advanced Encryption Standard using Field Programmable Gate Arrays

Fast implementation and fair comparison of the final candidates for Advanced Encryption Standard using Field Programmable Gate Arrays Kris Gaj and Pawel Chodowiec Electrical and Computer Engineering George Mason University Fast implementation and fair comparison of the final candidates for Advanced Encryption Standard using Field Programmable

More information

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko 136 VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko Abstract: Usage of modeling for construction of laser installations today is actual in connection

More information