FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture

Size: px
Start display at page:

Download "FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture"

Transcription

1 FPGA Architecture Overview dr chris dick dsp chief architect wireless and signal processing group xilinx inc. Generic FPGA Architecture () Generic FPGA architecture consists of an array of logic tiles Tile typically consists of lookup table(s) register(s) multipliers/multiplyaccumulate unit (MAC) Vertical Wiring Channel Routing resources in the channels between the logic tiles provide the connectivity between tiles, I/O, onchip memory & other resources FPGA Architecture 2

2 Generic FPGA Architecture (2) FPGA Architecture 3 VirtexII FPGA Brief overview of an older generation FPGA FPGA Architecture 4 2

3 VirtexII Platform FPGA Active Interconnect Powerful CLB Slice S3 Switch Matrix CLB, IOB, DCM Switch Matrix Slice S Slice S2 Fully Buffered Fast, Predictable Slice S BRAM Block RAM KBit True Dual Port Up to 3.5Mbits / device Multipliers b x b multiplier 2MHz pipelined 8 LUTs 28b distributed RAM Wide Input functions (32:) FPGA Architecture 5 CLB Contains 4 Slides Each CLB is connected to one switch matrix Providing access to general routing resources Switch Matrix TBUF TBUF SHIFT Slice S XY Slice S XY COUT CIN Slice S3 XY Slice S2 XY COUT CIN Fast Connects High level of logic integration Wideinput functions: 6: multiplexer in CLB or any 7input function 32: multiplixer in 2 CLBs ( level of LUT) Fast arithmetic functions 2 lookahead carry chains per CLB column Addressable shift registers in LUT 6b shift register in LUT 28b shift register in CLB (dedicated shift chain) FPGA Architecture 6 3

4 FPGA Logic Slice slice = 2 LUTs + 2 Registers PRE LUT Carry D Q CE CLR F5 F6 LUT Carry PRE D Q CE CLR FPGA Architecture 7 VirtexII Slice Each Function Generator F & G: 4input Lookup Table 6bit distributed RAM 6bit Shift Register Each Register: D FlipFlop Latch Dedicated Logic: Muxes Multiplier fabric FPGA Architecture 8 4

5 VirtexII Slice Detailed View diagram shows ½ slice FPGA Architecture 9 Dedicated Carry Logic The limited arithmetic performance achievable using LUT elements alone to implement carry logic is solved by the dedicated carry logic contained in each slice. Dedicated fast connection path to next slice I3 I2 I I I3 I2 I I O O D D Q Q MUXCY XORCY The dedicated, and much simpler structure of the MUXCY and XORCY carry logic components enables a very high performance (low delay) path from carry input to carry output. It also allows a 2bit operation to be performed in a slice. However, it is not so obvious how this is achieved.. Dedicated fast connection from previous slice FPGA Architecture 5

6 The Xilinx Full Adder A B Half_sum Cin Sum Half_sum A Cout Cin XOR function Cout Multiplexer function 2 input XOR function B A SUM Connects to A Cin Fast MSB resolution FPGA Architecture VirtexII Family FPGA Architecture 2 6

7 CLB Multiplexors Slice S3 Slice S2 F5 F5 F6 F8 MUXF8 combines the 2 MUXF7 outputs (Two CLB) MUXF6 combines Slices XY & XY Slice S F5 F7 MUXF7 combines the 2 MUXF6 outputs Slice S F5 F6 MUXF6 combines Slices XY & XY CLB FPGA Architecture 3 Hierarchical Routing Resources FPGA Architecture 4 7

8 VirtexII Memory Hierarchy 6x 6x 6x 6x Distributed RAM 6x 6x 6x 6x HighPerformance External Memory Interfaces DDR SDRAM 6k x 8k x 2 4k x 4 2k x 9 k x 52 x TrueDual Port Synchronous Block RAM ZBT SRAM QDR SRAM FPGA Architecture 5 Unique Distributed RAM LUTs used as memory inside the fabric Flexible, can be used as RAM, ROM, or shift register 64b 64b Dual Port RAM Distributed memory with fast access time Cascadable with builtin CLB routing Applications Linear Feedback Shift Register Distributed arithmetic Timeshared registers Small FIFO Digital delay lines RAM6 SRL6 LUT 6b 28b CLB Single Port RAM CLB Shift register 28b 6b CLB FPGA Architecture 6 8

9 Efficient Shift Register in LUT 6 latches in the LUT can be configured as shift register Maximum delay of 6 clock cycles in one LUT, up to 28 in one CLB Can be read asynchronously by toggling address lines Efficient programmable delay for balancing pipelined designs Can also be used for small FIFOs or to reprogram LUTs IN CE CLK LUT D Q CE D Q CE D Q CE OUT D Q CE ADDRESS CASCADE FPGA Architecture 7 SRL6 Applications Pipeline compensation (different length per branch ) FIFO, pseudorandom number generator (LFSR) Serial frame synchronizer Runningaverage calculator Pulse generator and clock divider Pattern generator, state machine Website: FPGA Architecture 9

10 Time Division Multiplexing Hardware with the SRL6 () Channel (28,22) RS encoder 56 slices gate g g g 2 g2t b GF multiplier GF adder b b 2 2t x a( X ) message b2 t Parity Bits Output FPGA Architecture 9 Time Division Multiplexing Hardware with the SRL6 (2) 6 Channel (28,22) RS encoder 9 slices 6chn arch 9 = = % 6 copies of chn. arch 6 56 gate g g g2 b b b 2 GF multiplier SRL6 GF adder 2t x a( X ) message g2t b2 t Parity Bits Output FPGA Architecture 2

11 Kb True DualPort Block RAM Configuration Depth 6K x 6K 8K x 2 8K 4K x 4 4K 2K x 9 2K K x K 52 x 52 Data Bits Parity Bits bit bit Port A: 9b Port B: b 9Bit bit Each Port Independent Width Supports data width conversion including parity bits Synchronous read and write 25 MHz registered performance FPGA Architecture 2 BRAM Data Width Conversion Block RAMs Provide Data Width Conversion And FIFO Function in One Narrow Data Stream Narrow Data Stream Wide Processing Data Path FPGA Architecture 22

12 Dual Port Memory Configurations for VirtexII FPGA Architecture 23 Virtex4 FPGA FPGA Architecture 24 2

13 Virtex4 FPGA Revolutionary Advance in FPGA Architecture ASMBL Enables DialIn Resource Allocation Mix Logic, DSP, BRAM, I/O, MGT, DCM, PowerPC Enabled by FlipChip Packaging Technology I/O Columns Distributed Throughout the Device FPGA Architecture 25 Three Virtex4 Platforms Device Logic Cells Block RAM [Kb] DCM SelectIO XtremeDSP Slice PowerPC // EMAC RocketIO transceiver XC4VLX5 3, XC4VLX25 24,92, XC4VLX4 4,472, XC4VLX6 59,94 2, XC4VLX8 8,64 3, XC4VLX,592 4, XC4VLX6 52,64 5, XC4VLX2 2,4 6, XC4VSX25 23,4 2, XC4VSX35 34,56 3, XC4VSX55 55,296 5, XC4VFX2 2, XC4VFX2 9,224, XC4VFX4 4,94 2, XC4VFX6 56,88 4, XC4VFX 94,896 6, XC4VFX4 42,28 9, FPGA Architecture 26 3

14 DSP Tile think of this as the main computational element C BCOUT To Adjacent DSP Tile PCOUT Shared with adjacent DSP A B 72 X Y CIN ± P ZERO Z SUB Register BCIN Wire Shift Right By 7b PCIN FPGA Architecture 27 Dynamically Reconfigurable DSP OPMODEs OpMode Zero Hold P A:B Select Multiply C Select Feedback Add Bit Adder P Cascade Select P Cascade Feedback Add P Cascade Add P Cascade Multiply Add P Cascade Add P Cascade Feedback Add Add P Cascade Add Add Hold P Double Feedback Add Feedback Add MultiplyAccumulate Feedback Add Double Feedback Add Feedback Add Add C Select Feedback Add Bit Adder MultiplyAdd 7Bit Shift P Cascade Select 7Bit Shift P Cascade Feedback Add 7Bit Shift P Cascade Add 7Bit Shift P Cascade Multiply Add 7Bit Shift P Cascade Add 7Bit Shift P Cascade Add Add 7Bit Shift Feedback 7Bit Shift Feedback Feedback Add 7Bit Shift Feedback Add 7Bit Shift Feedback Multiply Add 7Bit Shift Feedback Add Z Y X / Cin +/ (P + Cin) Output +/ (A:B + Cin) +/ (A * B + Cin) +/ (C + Cin) +/ (C + P + Cin) +/ (A:B + C + Cin) PCIN +/ Cin PCIN +/ (P + Cin) PCIN +/ (A:B + Cin) PCIN +/ (A * B + Cin) PCIN +/ (C + Cin) PCIN +/ (C + P + Cin) PCIN +/ (A:B + C + Cin) P +/ Cin P +/ (P + Cin) P +/ (A:B + Cin) P +/ (A * B + Cin) P +/ (C + Cin) P +/ (C + P + Cin) P +/ (A:B + C + Cin) C +/ Cin C +/ (P + Cin) C +/ (A:B + Cin) C +/ (A * B + Cin) Shift(PCIN) +/ Cin Shift(PCIN) +/ (P + Cin) Shift(PCIN) +/ (A:B + Cin) Shift(PCIN) +/ (A * B + Cin) Shift(PCIN) +/ (C + Cin) Shift(PCIN) +/ (A:B + C + Cin) Shift(P) +/ Cin Shift(P) +/ (P + Cin) Shift(P) +/ (A:B + Cin) Shift(P) +/ (A * B + Cin) Shift(P) +/ (C + Cin) Over 4 Different Modes Each XtremeDSP Slice individually controllable Change operation in a single clock cycle Enables resource sharing for maximum utilization FPGA Architecture 28 4

15 Combinatorial Multiplier C To Adjacent DSP Tile BCOUT PCOUT MS Word LS Word A B 72 X Y CIN ± P A B P (PCOUT) ZERO Z SUB b product sign extended to b Register Wire Shift Right By 7b BCIN PCIN FPGA Architecture 29 Pipelined Multiplier C To Adjacent DSP Tile BCOUT 3 delay latency PCOUT MS Word LS Word A B 72 X Y CIN ± P A B z 3 P (PCOUT) b product sign extended to b Register ZERO SUB Z Wire Shift Right By 7b BCIN PCIN FPGA Architecture 3 5

16 DSP: Wide Add/Sub () Wide add/sub C [A:B] add/sub MS Word LS Word This is one option Use of C port can restrict use of adjacent DSP since the C port is shared C C+/[A:B] A B To Adjacent DSP Tile BCOUT Register 2 delay latency X CIN 72 Y ± ZERO SUB Z Wire Shift Right By 7b PCOUT P BCIN PCIN FPGA Architecture 3 DSP: Wide Add/Sub (2) PCIN Wide add/sub [A:B] add/sub MS Word LS Word This is a 2nd option for wide add/sub Use of PCIN removes coupling between DSPs that results from use of C port C A B PCIN+/[A:B] To Adjacent DSP Tile BCOUT 2 delay latency X 72 Y ZERO Z CIN ± SUB PCOUT P Register Wire Shift Right By 7b BCIN PCIN FPGA Architecture 32 6

17 Conventional FIR Filter Standard textbook FIR filter Direct implementation of this graph has potential sample rate limitations due to long combinatorial path FPGA Architecture 33 Pipelined FIR Filter Virtex4 DSP provides support for pipelined FIR filters Pipelining ensures high performance >5 MHz operation Pipelining registers implemented directly in DSP tile FPGA Architecture 34 7

18 35x MPY A[34:7] S2 P[52:7] S,A[6:] B[7:] Sign Extension >>7 z P[6:] sn = Slice n Register z Logic Fabric Delay FPGA Architecture 35 Pipelined 35x35 MPY A[34:7] z 3 S4 P[69:34] S3,A[6:] z B[34:7] z >>7 z P[33:7] A[34:7] S2 S,A[6:] sn = Slice n,b[6:] Register Sign Extension Logic Fabric Delay z >>7 z 3 P[6:] FPGA Architecture

19 Pipelined Complex x MPY Ai Bi S4 Pr Ar Br Ar Bi S3 S2 Pi S Ar Bi sn = Slice n Register Sign Extension FPGA Architecture 37 Pipelined Complex x MACC Ai Bi S5 S6 Pr Ar Br Ar Bi S4 S2 S3 Pi sn = Slice n S Ar Bi Register Sign Extension FPGA Architecture 38 9

20 Pipelined Complex 35x MPY Real component of complex product Ai[34:7] Bi[7:] z 3 z 3 S4 Pr[52:7] Ar[34:7] z S3,Ar[6:] Br[7:] S2 >>7 z 2 Pr[6:] S,Ai[6:] sn = Slice n Bi[7:] Register Sign Extension Logic Fabric Delay z FPGA Architecture 39 Pipelined Complex 35x MPY Imaginary component of complex product Ai[34:7] Br[7:] z 3 z 3 S4 Pi[52:7] Ar[34:7] z S3,Ar[6:] Bi[7:] S2 >>7 z 2 Pi[6:] S,Ai[6:] sn = Slice n Br[7:] Register Sign Extension Logic Fabric Delay z FPGA Architecture 4 2

21 Bit Barrel Shifter A[7:] S2 2 n A[7:] S A[,7:] 2 n Sign Extension >>7 sn = Slice n Register FPGA Architecture 4 Virtex6 FPGA FPGA Architecture 42 2

22 Virtex6 FPGA: Faster Logic Fabric LUT6 increases logic capability Reduces number of logic levels Reduces routing Lowers fanout LUT6 with Dual FF Pair LUT6 Second flipflop added Improves heavily pipelined designs Same CLB architecture for both Spartan6 and Virtex6 FPGA Architecture 43 Virtex6 CLB Each CLB connected to a switch CLB element contains a pair of slices Slices do not have direct connections to each other Each slice contains 4 6input function generators 8 flipflops (FFs) Some slices (slicem) contain memory others (slicel) do not support distributed memory. SLICEM only, SLICEL does not have distributed RAM or shift registers FPGA Architecture 44 22

23 Detailed View of Virtex6 SliceM Each LUT arbitrary function of 6 inputs output on O6 2 arbitrary functions of 5 inputs outputs are O5 and O6 Generating functions of 7 and 8 inputs F7AMUX can generate a function of 7 input variables by combining the outputs of LUTs A and B F7BMUX can generate a function of 7 input variables by combining the outputs of LUTs C and D F8MUX combines the outputs of F7AMUX and F7BMUX F7BMUX F8MUX F7AMUX FPGA Architecture 45 Virtex6 LUT function of 6 variables Figures illustrate computing a function of 6 input variables Combinatorial output Registered output combinatorial output f ( A (6) ) A (6) registered output f ( A (6) ) f ( A (6) ) config. mem cell defines mux sel A (6) f ( A (6) ) FPGA Architecture 46 23

24 Virtex6 LUT two independent functions of 5 variables one LUT can compute two independent functions of 5 variables Combinatorial output combinatorial output f ( A(5) ), g ( A(5) ) A (5) g ( A (5) ) f ( A (5) ) Registered output registered output A (5) ( ), ( ) (5) (5) f A g A config. mem cell defines mux sel g ( A (5) ) f ( A (6) ) FPGA Architecture 47 Virtex6 LUT can bypass LUT and register AX, DX inputs register AX, DX to insert clock cycle delay pipeline balancing shortening critical path in design FPGA Architecture 24

25 Virtex6 CLB: Higher Performance for Pipelined Designs Virtex4 and Earlier Virtex5 Virtex6 LUT/FF Pair LUT/FF Pair LUT/Dual FF Pair 4LUT 6LUT 6LUT Great GeneralPurpose Logic Substantial increase in LUT logic capability: Drives performance NEW: Second flipflop added to increase utilization of heavily pipelined designs Virtex6 Overview 49 FPGA Architecture 49 Virtex6 Distributed RAM memory configurations singleport 32xbit RAM dualport 32xbit RAM quadport 32x2bit RAM simple dualport 32x6bit RAM singleport 64xbit RAM dualport 64xbit RAM quadport 64xbit RAM simple dualport 64x3bit RAM singleport 28xbit RAM dualport 28xbit RAM singleport 256xbit RAM Refer to for details FPGA Architecture 5 25

26 Distributed RAM Configurations distributed RAM: RAM32x2Q distributed RAM: RAM32x6SDP FPGA Architecture 5 Distributed RAM Configurations distributed RAM: RAM64xS distributed RAM: RAM64xD FPGA Architecture 52 26

27 LUT as Shift Register () Shift register logic (SRL) LUT configuration LUT can be configured to operation as 32bit shift register LUT configured as SRL functional representation of LUT configured as SRL FPGA Architecture 53 LUT as Shift Register (2) Shift register logic (SRL) LUT configuration LUT can be configured to operation as dual 6bit shift register 2 LUTs support 64bit SRL LUT configured as 6b dual SRL 64b shift register configuration FPGA Architecture 54 27

28 LUT as Shift Register (3) 96b SRL 28b SRL FPGA Architecture 55 Virtex6 Multiplexors () four 4: multiplexors two 8: multiplexors FPGA Architecture 56 28

29 FPGA Architecture Virtex6 Multiplexors (2) dedicated F7/F8 MUX in a slice enables efficient construction of large MUX 6: multiplexor dedicated F7/F8 also ensure high clock frequencies FPGA Architecture 57 High DSP Performance DSPE FPGA tile Cascadable MultiplierAccumulator Nearly a TeraMAC of DSP performance Powerful thirdgeneration DSP slice Up to 6 MHz operation in Virtex6 Up to 287 MHz operation in Spartan6 New optional preadder Familiar cascade capability for highest performance and utilization B A D +/ B A D +/ PreAdder 25 x MULT C = 25 x MULT C = P P Highest DSP slice capacity Up to 2, DSP Slices in Virtex6 Up to 2 DSP slices in Spartan6 B A D +/ 25 x MULT C = P Mont Blanc Overview 58 FPGA Architecture 58 29

30 Virtex6 DSPE Tile To first order the DSPE comprises a multiplier followed by an accumulator All 3 pipeline registers (input A/B, middle M and output P) should be enabled to achieve maximum clock rate input preadder is very useful for symmetric FIR filters add/sub out ( Z ( X Y CIN )) or ( Z ( X Y CIN )) = ± + + ± + + FPGA Architecture 59 Virtex6 DSPE Tile simplified view To first order the DSPE comprises a multiplier followed by an accumulator All 3 pipeline registers (input A/B, middle M and output P) should be enabled to achieve maximum clock rate FPGA Architecture 6 3

31 Taking Advantage of Filter Symmetry with the Virtex6/Spartan6 DSPE/A Preadder FPGA Architecture 6 Virtex6 Base Platform FPGA Architecture 62 3

32 Partial Reconfiguration Configuration 3 2 Reconfigurable Module A Reconfigurable Module B Partition RP Reconfigurable Module C Reconfigurable Module D Partition RP2 Partial Reconfiguration is unique to Xilinx Saves static and dynamic Power: Design Green FPGA Architecture 63 32

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

DSP Resources. Main features: 1 adder-subtractor, 1 multiplier, 1 add/sub/logic ALU, 1 comparator, several pipeline stages

DSP Resources. Main features: 1 adder-subtractor, 1 multiplier, 1 add/sub/logic ALU, 1 comparator, several pipeline stages DSP Resources Specialized FPGA columns for complex arithmetic functionality DSP48 Tile: two DSP48 slices, interconnect Each DSP48 is a self-contained arithmeticlogical unit with add/sub/multiply/logic

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

Parallel FIR Filters. Chapter 5

Parallel FIR Filters. Chapter 5 Chapter 5 Parallel FIR Filters This chapter describes the implementation of high-performance, parallel, full-precision FIR filters using the DSP48 slice in a Virtex-4 device. ecause the Virtex-4 architecture

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK

The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK The DSP Primer 8 FPGA Technology Return DSPprimer Home Return DSPprimer Notes August 2005, University of Strathclyde, Scotland, UK For Academic Use Only THIS SLIDE IS BLANK August 2005, For Academic Use

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali. EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 9 FPGA Architecture Ranier Yap, Mohamed Ali Annoucements Homework 2 posted Due Wed, May 7 Now is the time to turn-in your Hw

More information

7-Series Architecture Overview

7-Series Architecture Overview 7-Series Architecture Overview Zynq Vivado 2013.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe the

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices ECE 448 Lecture 5 FPGA Devices George Mason University Required reading Spartan-6 FPGA Configurable Logic Block: User Guide CLB Overview Slice Description 2 Recommended reading Highly recommended for the

More information

Xilinx ASMBL Architecture

Xilinx ASMBL Architecture FPGA Structure Xilinx ASMBL Architecture Design Flow Synthesis: HDL to FPGA primitives Translate: FPGA Primitives to FPGA Slice components Map: Packing of Slice components into Slices, placement of Slices

More information

ECE 545 Lecture 12. FPGA Resources. George Mason University

ECE 545 Lecture 12. FPGA Resources. George Mason University ECE 545 Lecture 2 FPGA Resources George Mason University Recommended reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional Details 2 What is an FPGA? Configurable Logic Blocks

More information

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) 1 Roth Text: Chapter 3 (section 3.4) Chapter 6 Nelson Text: Chapter 11 Programmable logic taxonomy Lab Device 2 Field Programmable Gate Arrays Typical Complexity

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Introduction to Modern FPGAs

Introduction to Modern FPGAs Introduction to Modern FPGAs Arturo Díaz Pérez Centro de Investigación y de Estudios Avanzados del IPN Departamento de Ingeniería Eléctrica Sección de Computación adiaz@cs.cinvestav.mx Outline Technology

More information

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013. 2499-1 International Training Workshop on FPGA Design for Scientific Instrumentation and Computing 11-22 November 2013 FPGA Introduction Cristian SISTERNA National University of San Juan San Juan Argentina

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

TSEA44 - Design for FPGAs

TSEA44 - Design for FPGAs 2015-11-24 Now for something else... Adapting designs to FPGAs Why? Clock frequency Area Power Target FPGA architecture: Xilinx FPGAs with 4 input LUTs (such as Virtex-II) Determining the maximum frequency

More information

HDL Coding Style Xilinx, Inc. All Rights Reserved

HDL Coding Style Xilinx, Inc. All Rights Reserved HDL Coding Style Objective After completing this module, you will be able to: Select a proper coding style to create efficient FPGA designs Specify Xilinx resources that need to be instantiated for various

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

Review from last time. CS152 Computer Architecture and Engineering Lecture 6. Verilog (finish) Multiply, Divide, Shift

Review from last time. CS152 Computer Architecture and Engineering Lecture 6. Verilog (finish) Multiply, Divide, Shift Review from last time CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (www.cs.berkeley.edu/~kubitron) lecture slides: http://www-inst.eecs.berkeley.edu/~cs152/

More information

Programmable Logic. Simple Programmable Logic Devices

Programmable Logic. Simple Programmable Logic Devices Programmable Logic SM098 Computation Structures - Programmable Logic Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL architectures Implements

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Virtex-4 Family Overview

Virtex-4 Family Overview Virtex-4 User Guide 0 Virtex-4 Family Overview DS112 (v1.1) September 10, 2004 0 0 General Description The Virtex-4 Family is the newest generation FPGA from Xilinx. The innovative Advanced Silicon Modular

More information

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs

ECE 645: Lecture 1. Basic Adders and Counters. Implementation of Adders in FPGAs ECE 645: Lecture Basic Adders and Counters Implementation of Adders in FPGAs Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design Chapter 5, Basic Addition and Counting,

More information

High-Performance Integer Factoring with Reconfigurable Devices

High-Performance Integer Factoring with Reconfigurable Devices FPL 2010, Milan, August 31st September 2nd, 2010 High-Performance Integer Factoring with Reconfigurable Devices Ralf Zimmermann, Tim Güneysu, Christof Paar Horst Görtz Institute for IT-Security Ruhr-University

More information

EECS150 - Digital Design Lecture 16 - Memory

EECS150 - Digital Design Lecture 16 - Memory EECS150 - Digital Design Lecture 16 - Memory October 17, 2002 John Wawrzynek Fall 2002 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: data & program storage general purpose registers buffering table lookups

More information

CS Digital Systems Project Laboratory

CS Digital Systems Project Laboratory CS 194- igital Systems Project Laboratory Lecture 1 Virtex-5 Microarchitecture Not a professor. John is OK. 2008-9- John Lazzaro (www.cs.berkeley.edu/~lazzaro) And also, an introduction to the project.

More information

EECS150 - Digital Design Lecture 13 - Project Description, Part 2: Memory Blocks. Project Overview

EECS150 - Digital Design Lecture 13 - Project Description, Part 2: Memory Blocks. Project Overview EECS150 - igital esign Lecture 13 - Project escription, Part 2: Memory Blocks Mar 2, 2010 John Wawrzynek Spring 2010 EECS150 - Lec13-proj2 Page 1 Project Overview A. MIPS150 pipeline structure B. Serial

More information

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM CHAPTER 4 IMPLEMENTATION OF DIGITAL UPCONVERTER AND DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM 4.1 Introduction FPGAs provide an ideal implementation platform for developing broadband wireless systems such

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

ECE 699: Lecture 9. Programmable Logic Memories

ECE 699: Lecture 9. Programmable Logic Memories ECE 699: Lecture 9 Programmable Logic Memories Recommended reading XST User Guide for Virtex-6, Spartan-6, and 7 Series Devices Chapter 7, HDL Coding Techniques Sections: RAM HDL Coding Techniques ROM

More information

The Virtex FPGA and Introduction to design techniques

The Virtex FPGA and Introduction to design techniques The Virtex FPGA and Introduction to design techniques SM098 Computation Structures Lecture 6 Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Course Overview Revisited

Course Overview Revisited Course Overview Revisited void blur_filter_3x3( Image &in, Image &blur) { // allocate blur array Image blur(in.width(), in.height()); // blur in the x dimension for (int y = ; y < in.height(); y++) for

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Digital System Construction

Digital System Construction Digital System Construction FYSIKUM Lecture 4: More VHDL, memory, PRNG Arithmetic Memories Pipelines and buffers Pseudorandom numbers IP core generation in Vivado Introduction to Lab 3 Digital Systemkonstruktion

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information

More information

Hoplite-DSP Harnessing the Xilinx DSP48 Multiplexers to efficiently support NoCs on FPGAs. Chethan Kumar H B and Nachiket Kapre

Hoplite-DSP Harnessing the Xilinx DSP48 Multiplexers to efficiently support NoCs on FPGAs. Chethan Kumar H B and Nachiket Kapre -DSP Harnessing the Xilinx DSP Multiplexers to efficiently support NoCs on FPGAs Chethan Kumar H B and Nachiket Kapre nachiket@ieee.org FPL 201 paper Jan Gray co-author Specs 60 s+100 FFs 2.9ns clock Smallest

More information

Chapter 8 FPGA Basics

Chapter 8 FPGA Basics Chapter 8 FPGA Basics NCHU EE Yin-Tsung Hwang YT Hwang VLSI SP 1 What are PLs? YT Hwang VLSI SP 2 Programmable Logic evices A pre-fabricated ASIC capable of performing any logic subject to user programming

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

EE260: Digital Design, Spring 2018

EE260: Digital Design, Spring 2018 Topics Verilog Module 1 Introduction Yao Zheng (Based on the slides of Prof. Jim Duckworth) Background to Verilog Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture Nexys

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information

What is Xilinx Design Language?

What is Xilinx Design Language? Bill Jason P. Tomas University of Nevada Las Vegas Dept. of Electrical and Computer Engineering What is Xilinx Design Language? XDL is a human readable ASCII format compatible with the more widely used

More information

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007 EECS 5 - Components and Design Techniques for Digital Systems Lec 2 RTL Design Optimization /6/27 Shauki Elassaad Electrical Engineering and Computer Sciences University of California, Berkeley Slides

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

ECEU530. Project Presentations. ECE U530 Digital Hardware Synthesis. Rest of Semester. Memory Structures

ECEU530. Project Presentations. ECE U530 Digital Hardware Synthesis. Rest of Semester. Memory Structures ECEU53 ECE U53 igital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 5, 26 Lecture 8: Student project presentations Memories and FPGAs Tri-state buffers and busses Student project presentations:

More information

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca, 26-28, Bariţiu St., 3400 Cluj-Napoca,

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

FPGA Implementations

FPGA Implementations FPGA Implementations Smith Text: Chapters 4-8 Online version at: http://www10.edacafe.com/book/asic/asics.php Topic outline Chapter 4 Programmable ASIC technologies Chapter 5 Programmable logic cells Chapter

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Autonomous Built-in Self-Test Methods for SRAM Based FPGAs

Autonomous Built-in Self-Test Methods for SRAM Based FPGAs Autonomous Built-in Self-Test Methods for SRAM Based FPGAs Steven Kopman, Student Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 32816-2450 skopman@knights.ucf.edu

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_PIPE) Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com Core Facts Documentation

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi Digital System Design Lecture 7: Altera FPGAs Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Altera FPGAs FLEX 8000 FLEX 10k APEX 20k Sharif University of Technology 2 FLEX 8000 Block Diagram

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

ECE 545: Lecture 11. Programmable Logic Memories

ECE 545: Lecture 11. Programmable Logic Memories ECE 545: Lecture 11 Programmable Logic Memories Recommended reading Vivado Design Suite User Guide: Synthesis Chapter 4 RAM HDL Coding Techniques Initializing RAM Contents 7 Series FPGAs Memory Resources:

More information

ECE 545: Lecture 11. Programmable Logic Memories. Recommended reading. Memory Types. Memory Types. Memory Types specific to Xilinx FPGAs

ECE 545: Lecture 11. Programmable Logic Memories. Recommended reading. Memory Types. Memory Types. Memory Types specific to Xilinx FPGAs ECE 545: Lecture 11 Programmable Logic Memories Recommended reading Vivado Design Suite User Guide: Synthesis Chapter 4 RAM HDL Coding Techniques Initializing RAM Contents 7 Series FPGAs Resources: User

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

ΔΙΑΛΕΞΗ 2: FPGA Architectures

ΔΙΑΛΕΞΗ 2: FPGA Architectures ΗΜΥ 664 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2010 ΔΙΑΛΕΞΗ 2: FPGA Architectures ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Λέκτορας ΗΜΜΥ (ttheocharides@ucy.ac.cy) Some slides adopted from Digital Integrated Circuits,

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

VLSI Programming 2016: Lecture 3

VLSI Programming 2016: Lecture 3 VLSI Programming 2016: Lecture 3 Course: 2IMN35 Teachers: Kees van Berkel c.h.v.berkel@tue.nl Rudolf Mak r.h.mak@tue.nl Lab: Kees van Berkel, Rudolf Mak, Alok Lele www: http://www.win.tue.nl/~wsinmak/education/2imn35/

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

XA Spartan-6 Automotive FPGA Family Overview

XA Spartan-6 Automotive FPGA Family Overview 10 XA Spartan-6 Automotive FPGA Family Overview Product Specification General Description The Xilinx Automotive (XA) Spartan -6 family of FPGAs provides leading system integration capabilities with the

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices E 448 Lecture 5 FPGA evices E 448 FPGA and ASIC esign with VHL George Mason University Required reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional etails 2 What is an FPGA?

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) Project platform: Xilinx ML

EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) Project platform: Xilinx ML EECS150 - igital esign Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 25, 2010 John Wawrzynek Spring 2011 EECS150 - Lec03-FPGA Page 1 Project platform: Xilinx ML505-110 Spring 2011 EECS150

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder ESE532: System-on-a-Chip Architecture Day 8: September 26, 2018 Spatial Computations Today Graph Cycles (from Day 7) Accelerator Pipelines FPGAs Zynq Computational Capacity 1 2 Message Custom accelerators

More information

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Srinivas Murthy Garimella Master s Thesis Defense Thesis Advisor: Dr. Charles E. Stroud Committee Members: Dr. Victor P. Nelson

More information

Presentation Outline Overview of FPGA Architectures Virtex-4 & Virtex-5 Overview of BIST for FPGAs BIST Configuration Generation Output Response Analy

Presentation Outline Overview of FPGA Architectures Virtex-4 & Virtex-5 Overview of BIST for FPGAs BIST Configuration Generation Output Response Analy PRODUCTION SYSTEM-LEVELEVEL USE OF BUILT-IN SELF-TESTEST FOR IRTEX-4 4 & VIRTEX VIRTEX 5 FPGAS IRTEX-5 FPGA Chuck Stroud, Brad Dutton, Mary Pulukuri, Brooks Garrison, and Yao Jia (the BIST Dudes & Dudettes)

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructor: John Wawrzynek. Lecture 18 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructor: John Wawrzynek. Lecture 18 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructor: John Wawrzynek Lecture 18 Memory Blocks Multi-ported RAM Combining Memory blocks FIFOs FPGA memory blocks Memory block synthesis

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Outline of Presentation History of DSP Architectures in FPGAs Overview of Virtex-4 4 DSP

Outline of Presentation History of DSP Architectures in FPGAs Overview of Virtex-4 4 DSP Built-In Self-Test of DSPs in Virtex-4 FPGAs (Funded by NSA) Charles Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation History of DSP Architectures in FPGAs Overview

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination 1 Student name: Date: June 26, 2008 General requirements for the exam: 1. This is CLOSED BOOK examination; 2. No questions allowed within the examination period; 3. If something is not clear in question

More information

User Manual for FC100

User Manual for FC100 Sundance Multiprocessor Technology Limited User Manual Form : QCF42 Date : 6 July 2006 Unit / Module Description: IEEE-754 Floating-point FPGA IP Core Unit / Module Number: FC100 Document Issue Number:

More information

Pipelining & Verilog. Sequential Divider. Verilog divider.v. Math Functions in Coregen. Lab #3 due tonight, LPSet 8 Thurs 10/11

Pipelining & Verilog. Sequential Divider. Verilog divider.v. Math Functions in Coregen. Lab #3 due tonight, LPSet 8 Thurs 10/11 Lab #3 due tonight, LPSet 8 Thurs 0/ Pipelining & Verilog Latency & Throughput Pipelining to increase throughput Retiming Verilog Math Functions Debugging Hints Sequential Divider Assume the Divid (A)

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information