Debugging of FPGA based Prototypes - A Case Study

Size: px
Start display at page:

Download "Debugging of FPGA based Prototypes - A Case Study"

Transcription

1 Proc. Design, Automation and Test in Europe Conference, Designer s Forum, München, , pp Debugging of FPGA based Prototypes - A Case Study Rainer Ulrich 1, Wolfgang Grafen 1, Jürgen Haufe 2, Jens Grosse 2 1 Marconi Communications GmbH, Germany 2 Fraunhofer Institut für Integrierte Schaltungen, Germany Abstract Reduced product cycles and stringent time-to-market requirements in spite of growing complexity make faster verification of System on Chip (SoC) mandatory. FPGA prototypes are used to achieve this, but debugging the system gets more difficult with growing size of the FPGAs due to decreasing observability. A debugger was developed which couples the prototype hardware with simulator software. The aim is to test the system or its modules in the real environment and still be able to reproduce the tests in the simulator. This paper describes in a case study the application of the debugger in real telecommunication designs. 1. Introduction The classical verification methodology relying on simulation offers excellent debugging support and short turnaround times in case of a malfunction. So modifications and "what if..." analysis are quickly done. On the other hand the environment in which the device works has to be created. The development of the testbenches for a design requires nearly as much time as the development of the design itself and is error prone. Most trouble usually arises from misunderstanding the interactions between device under test (DUT) and system. In addition simulation is slow and exhaustive testing may not be feasible because of long run-times. Therefore either emulation or prototyping with FPGAs is used, which allows verification at real-time or at least at a vastly increased speed. If a certain minimum speed can be achieved, it is also possible to verify the device in its already existing environment. This removes the time consuming and error prone task to model the environment. A drawback common to both is the long turn-around time for larger designs in case of a malfunction because after every change a new synthesis and place-and-route is necessary. Emulation using commercially available systems offers relatively automatic usage and good support for debugging the design, but they are expensive and sometimes too slow (up to several MHz) for real-time applications [1]. FGPAs have now reached a complexity and performance which makes their use for prototyping and speeding up the verification process in telecommunication applications possible. The main drawback of FPGA based rapid prototypes is their missing support for debugging. In classical real-time hardware debugging approaches, the hardware behaviour is observed using logic analysers or oscilloscopes connected to externally accessible I/O pins or probe pins of the DUT. Hardware internal nodes are usually accessed by routing them to external pins which are not used by the DUT. Because of the limited number of unused external pins, only a small number of signals may be traced by that technique. FPGA vendor specific solutions offer only a limited scope of up to 256 nodes [2],[3]. In order to remedy this problem a design and technology independent debugger was developed, which tightly connects FPGA based prototypes with any desired simulator [4]. 2. Description of the debugger RAM 36 HW Controller 42 HW Kernel 7 Shadow Cells Breakpoint DUT 6 Host Interface Simulator Logic Analyser System Environment Figure 1. Debugging Environment The debugger traces all internal registers of the DUT 109

2 frequently and non-intrusively while the circuit is running at speed. The traced data are stored in a RAM to be downloaded into a host computer for a detailed analysis (trace mode). Alternatively the debugger allows loading data from the simulator simultaneously into all internal registers of the DUT (update mode) in order to achieve a certain state which is otherwise difficult to reach. In order to make this possible the registers of the DUT are replaced by shadow cells consisting of some combinatoric and two flipflops. The second flipflops of all shadow cells are lined up as a shift register to output the content to the RAM or to read data from the RAM without any feedback to the system. Additional control and interface logic is added to the DUT and connected (figure 1). To minimize the impact on the board design the debugger allows the user either to integrate all of its components into the DUT as a debugger core or to keep most of its components off-chip on a separate debugger device. [4] A complete reproduction of a test in the simulator also requires that the input data has to be sampled continuously between two trace events of the debugger if the inputs are not reproducible. For simplification we currently use a logic analyser to meet this task. 3. Prototyping flow 3.1 Flow without debugger The following flow is usually applied to develop FPGA based prototypes: Cause found? Debug in HW Cause found? Debug in SW Start Write/Modify code Simulate code Analysis & Synthesis Place & Route STA Program FPGA(s) Verify in system STA: static timing analysis Proceed to ASIC developement Figure 2. Prototyping Flow w/o Currently the simulations tend to be quite long due to the lack of FPGA debugging support. The engineers prefer to verify the code nearly completely by simulation instead of doing only a basic verification, thereby losing the advantage of the higher verification speed of FPGAs. The debugging of the FPGA(s) ( Debug in HW in figure 2) requires the most time. Because of the reduced observability of FPGAs usually several trials are necessary before the engineer is even able to inspect the correct signals which show the cause of the malfunction. Alternatively the engineer can try to reproduce the failing tests in the simulator. This is very time consuming because of the low achievable simulation speed and the effort to generate identical stimuli with system clock cycle exact timing, especially if the DUT contains feedback loops. Also the HW introduces additional possible sources of errors like physical defects of the board (interrupted or shorted traces) or faulty or undocumented behaviour of HW components which can t be detected by simulation. An iterative approach by refining the code piecewise in order to get easier to debug logic is not feasible due to the long turn-around times involved. 3.2 Flow with debugger When using the debugger the flow remains basically the same, only synthesis and the debugging of the FPGA(s) 110

3 differ: Cause found? Debug in SW Load test run data into simulator Start Write/Modify code Simulate code Analysis & Synthesis Place & Route STA Program FPGA(s) Verify in system STA: static timing analysis Proceed to ASIC developement Figure 3. Prototyping Flow with The analysis & synthesis step is shown in detail below: } Synthesis Tool Analysis Presynthesis Synthesis w/o timing Find registers } Replace regs by shadow cells Insert + Connect debugger logic Synthesis with timing Place & Route Tool optional Insertion debugger specific scripts Figure 4. Synthesis Flow with debugger Instead of having the debugger automatically searching and replacing all registers, the user can alternatively define the registers to be replaced himself. Depending on the complexity of the DUT a first verification by simulation may still be done. This serves to catch and correct the obvious bugs as fast as possible and to gain confidence that the design works as intended before investing time in long synthesis and place-and-route runs. In this phase only short simulations should be used and no model of the environment is required. The exhaustive verification is done using the FPGA prototype in the system. The debugger working in trace mode periodically samples the internal registers of the DUT and stores them in its RAM. In case of a malfunction the debugger is stopped. The failed test now can be exactly reproduced in the engineer s preferred simulator in order to analyse there the behaviour of the DUT. This is done automatically by calling a script after the simulator s initialization. This script forces all the internal signals of the DUT s model, which represent registers in the FPGA, to one of the trace vectors stored in the debugger s RAM. Then the input data stored by the logic analyser are applied to the DUT model. Because the model is preset immediately to an internal state traced by the debugger, only the time from this tracing event up to the occurrence of the malfunction must be simulated. This results in significant reduction of simulation time, if the malfunction occurs late in a test. The update mode enables the engineer to force the DUT hardware easily into desired states which are otherwise only reached after many clock cycles and applying complex input patterns, enabling thus quick what if... analysis. For the engineer using the debugger only means calling additional scripts which can easily be included as an option in existing flows. 4. Application Besides the different verification strategy, the debugger mainly influences the time needed for synthesis and the performance of the DUT. Its impact on the simulation s performance is minor because the only difference to simulations without debugger is the initialization of the DUT s model at the begin of the simulation. The time needed for debugging also depends too much on the specific problem to make meaningful comparisons. Therefore this chapter concentrates on the impact of the debugger on synthesis and performance of the modules. Currently, Marconi Communications is developing an ASIC for an advanced version of its digital point to multipoint system (MDMS) containing data processing in the frequency domain, Reed-Solomon channel coding, DES data encryption and dataintensive communication with external components as DSPs, a microcontroller and a SmartCard. Most modules of the ASIC operate with 52 MHz clock frequency. In order to evaluate the impact of the debugger several modules of our MDMS application were selected: 111

4 SCI: The first module is an ISO/IEC SmartCard interface. The main problem in this case is not the length of the simulation but the correct modeling of the environment. Exact models of the SmartCard are not easy to obtain because of the vendors reluctance to reveal the internal data processing due to security considerations. The vendors offer samples of their SmartCards as hardware, but a minimum clock frequency of at least several MHz is required for the communication with it to prevent fraud. E1IF: The second module is an ITU G.706 E1 interface including framing/deframing and a performance quality management. This interface needs long simulation runs (1 sec up to 15 min real-time when applying input data at 2 MHz data rate). OMUX: The third module is a filter structure to add two channels in the frequency domain. This module represents a typical telecommunication application and serves as an example for modules consisting nearly exclusively of arithmetic functions. We overconstrained the designs in order to have meaningful comparisons of the results. Otherwise the tools would simply stop when the requirements are fulfilled and the performance achieved would be incidental. The target was an ALTERA EPF10K250AGC599 FPGA. The synthesis tool was Synopsys Design Compiler version and for place-and route Altera MAXPLUS2 version 9.6 was used. A Sun Ultra 2 host was used for the evaluation. For each module 3 variants were investigated: First the plain DUT, then the DUT plus shadow cells only (+ S) and last (+ D) the DUT plus all design dependent modules of the debugger (HW debugger kernel in figure 2). A dedicated debugger FPGA plus external RAM devices were used for those parts of the debugger which are invariant regarding to the DUT. This is the preferred variant because there is no need to rerun the synthesis of the dedicated debugger FPGA if the DUT design changes. The dedicated debugger FPGA contains 352 flipflops and requires 1184 LCs. Design Synthesis P&R Total SCI 13 min 11 min 24 min SCI + S 53 min 22 min 75 min SCI + D 59 min 15 min 74 min E1IF 340 min 88 min 428 min E1IF + S 488 min 85 min 573 min Table 1: Impact on Implementation Time Design Synthesis P&R Total E1IF + D 404 min 216 min 620 min OMUX 39 min 86 min 125 min OMUX + S 232 min 211 min 443 min OMUX + D 182 min 224 min 406 min Table 1: Impact on Implementation Time The following table shows in more detail where the time is spent when inserting the debugger: Design Presynthesis FF Detection Insertion SCI + S 5 min 1 min 47 min SCI + D 8 min 2 min 49 min E1IF + S 136 min 10 min 342 min E1IF + D 134 min 10 min 260 min OMUX + S 18 min 6 min 208 min OMUX + D 21 min 7 min 154 min Table 2: Detailed Times for Synthesis The strange fact that the OMUX needed less time when the complete debugger was included than with shadow cells only is due to the heuristics used by synthesis tools. A different input, even when larger, can sometimes result in a shorter run-time because of a different starting point. The dramatic difference in time required for place-androute between E1IF + S and E1IF + D could be reproduced. It appears that the additional time spent for the final synthesis in variant E1IF + S compared to E1IF + D results in an faster to implement netlist. Also the time spent longer for place-and-route in design E1IF + D resulted in better performance than the other variants. The increase of synthesis time when integrating the debugger in the SCI or E1IF is acceptable to us. Especially in case of the E1IF the required time falls always into the overnight gap : it is too long to wait for the result during the usual working hours but it s available at the next morning. The large impact on implementation-time in case of OMUX is due to the fact that this module consists almost entirely of arithmetic. The FPGA synthesis tool recognizes this and uses FPGA vendor proprietary cores which also 112

5 include placement information. After insertion of the debugger, the synthesis tool has additionally to implement combinatorical logic between the flipflops. This slows down the process. In the following table the performance achieved in the implementation runs listed above is shown. In order to understand the impact of the debugger on the performance of the designs better, for each design an additional version (+ T) was introduced. In this version only the tracing of the internal registers is possible. Contrary to the full debug version (+ D) in this case no additional logic is inferred into the functional datapaths of the DUT. The second column of the table lists the number of flipflops in the functional core of the design which are to be replaced by shadow cells. The next column shows the total number of flipflops in the design including those of the debugger parts. Design core FFs total FFs Area in LC FPGA Usage Fmax in MHz SCI % 23.8 SCI + S % 18.2 SCI + T % 17.6 SCI + D % 18.1 E1IF % 8.0 E1IF + S % 8.4 E1IF + T % 8.9 E1IF + D % 9.2 OMUX % 15.8 OMUX + S % 15.8 OMUX + T % 15.8 OMUX + D % 15.8 Table 3: Performance of the modules Module SCI was in all variants constrained with 20 MHz, the other modules with 16 MHz. The number of core flipflops in the second row is lower for the designs without debugger, because in this case the synthesis tool can remove surplus flipflops. After shadow cell insertion these flipflops are no longer surplus because they feed data into the second flipflops of the shadow cells. For the designs with shadow cells only (+ S) the total number of flipflops is slightly more than double the core flipflops because additional flipflops are appended to the shadow cell chain in order to make the total number of flipflops in the chain a multiple of 16. This is required because the HW debugger stores 16 bit simultaneously into its RAM. When comparing the achieved speeds one should consider that in FPGAs the performance is dominated by the interconnection delays. Because of the heuristics used during placement, even the performance of the same design can differ about 1 MHz depending on the starting points of the place-and-route process. During prototyping area is not a concern up to a point. A certain overhead up to 50% is suggested in order to stay flexible for further changes. The achieved performance of the E1IF is still high enough to apply the input data at real-speed (2 MHz) using a system clock scaled down to 8 MHz. The quality management tests are performed at real-time by adjusting the counters for measuring the time intervals to the reduced system clock rate. When verifying those counters a test requiring 15 minutes real time when using a 52 MHz system clock takes 97.5 minutes due to the scaled down system clock (in either case the same number of clock cycles must be applied to the DUT). To give a comparison: On a Sun Ultra 2 host the simulation of about 400 ms real-time using the same reduced system clock takes about 9 h. In all cases the trace only version (+ T) has no noticeable impact on the performance. This is because in the selected examples the additional logic needed for the update mode fitted well into the existing hardware structures predefined by the FPGA architecture. 5. Conclusions This paper has described the application of a debugger for FPGA based prototypes in real telecommunication designs. The debugger was successfully applied to modules containing 3 to 8 times the number of registers currently supported by FPGA vendor specific solutions [2],[3] with minimal impact on the performance of the modules. The debugger has reached a stage where it can be applied to functional units in our applications. Especially if these units require long simulation runs or if their environment is already available in hardware, the debugger is used with advantage.for larger designs we currently suggest not using the debugger for the whole design but only for parts of it in 113

6 order to reduce the necessary overhead. In the future, we will evaluate the integration of dedicated FPGA synthesis tools further. We will also investigate how to speed up the flow and to overcome the current limitations of the debugger: synchronous DUT designs with maximal two clock domains, I/O signal trace using a logic analyser and embedded RAM as yet unsupported. Acknowledgments The authors would like to thank MEDEA for sponsoring this work within the research program European Intellectual Property in Designing Electronic Systems (EURIPIDES). 6. References [1] Ch. Fritsch, J. Haufe, Th. Berndt: Speeding Up Simulation by Emulation - A Case Study. in Design, Automation and Test in Europe Conference, Munich 1999, User Forum, [2] chipscope_ila_um.pdf [3] [4] J. Haufe, Ch. Fritsch, M. Gulbins, V. Lück, P. Schwarz: Real- Time Debugging of Digital Integrated Circuits. in Design, Automation and Test in Europe Conference, Paris 2000, User Forum,

Real-Time Debugging of Digital Integrated Circuits

Real-Time Debugging of Digital Integrated Circuits Proc. Design, Automation and Test in Europe Conference, User Forum, Paris, March 7-3,, 35-41 Real-Time ging of Digital Integrated Circuits Jürgen Haufe 1, Christoph Fritsch, Matthias Gulbins 1, Volker

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Design Tools for 100,000 Gate Programmable Logic Devices

Design Tools for 100,000 Gate Programmable Logic Devices esign Tools for 100,000 Gate Programmable Logic evices March 1996, ver. 1 Product Information Bulletin 22 Introduction The capacity of programmable logic devices (PLs) has risen dramatically to meet the

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

A Seamless Tool Access Architecture from ESL to End Product

A Seamless Tool Access Architecture from ESL to End Product A Seamless Access Architecture from ESL to End Product Albrecht Mayer Infineon Technologies AG, 81726 Munich, Germany albrecht.mayer@infineon.com Abstract access to processor cores is needed from the first

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

Boost FPGA Prototype Productivity by 10x

Boost FPGA Prototype Productivity by 10x Boost FPGA Prototype Productivity by 10x Introduction Modern ASICs have become massively complex due in part to the growing adoption of system on chip (SoC) development methodologies. With this growing

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

High Speed Multi-User ASIC/SoC Prototyping system

High Speed Multi-User ASIC/SoC Prototyping system High Speed Multi-User ASIC/SoC Prototyping system Technical Resource Document Date: August 23, 2010 About GiDEL GiDEL has become one of the market leaders as a company that continuously provides cuttingedge

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

Timing Analysis in Xilinx ISE

Timing Analysis in Xilinx ISE Timing Analysis in Xilinx ISE For each design which is to be implemented, constraints should be defined to get predictable results. The first important class of constraints was already introduced in the

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

_ V Renesas R8C In-Circuit Emulation. Contents. Technical Notes

_ V Renesas R8C In-Circuit Emulation. Contents. Technical Notes _ V9.12. 225 Technical Notes Renesas R8C In-Circuit Emulation This document is intended to be used together with the CPU reference manual provided by the silicon vendor. This document assumes knowledge

More information

DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS

DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS Design Creation & Synthesis White Paper DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS May 2009 ABSTRACT FPGA prototyping has become indispensable for functional verification and early software integration

More information

Intel Arria 10 FPGA Performance Benchmarking Methodology and Results

Intel Arria 10 FPGA Performance Benchmarking Methodology and Results white paper FPGA Intel Arria 10 FPGA Performance Benchmarking Methodology and Results Intel Arria 10 FPGAs deliver more than a speed grade faster core performance and up to a 20% advantage for publicly

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

In Circuit Emulators. In-Circuit Emulators.

In Circuit Emulators. In-Circuit Emulators. In Circuit Emulators An ideal tool is one that provides visibility into the internal operation of the device or component being emulated. In circuit emulators are hardware tools that both provide that

More information

Unit 2: High-Level Synthesis

Unit 2: High-Level Synthesis Course contents Unit 2: High-Level Synthesis Hardware modeling Data flow Scheduling/allocation/assignment Reading Chapter 11 Unit 2 1 High-Level Synthesis (HLS) Hardware-description language (HDL) synthesis

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning By: Roman Lysecky and Frank Vahid Presented By: Anton Kiriwas Disclaimer This specific

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

DoCD IP Core. DCD on Chip Debug System v. 6.02

DoCD IP Core. DCD on Chip Debug System v. 6.02 2018 DoCD IP Core DCD on Chip Debug System v. 6.02 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was founded in 1999 and

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation Introduction to Electronic Design Automation Model of Computation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 03 Model of Computation In system design,

More information

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems FPGA-Based Rapid Prototyping of Digital Signal Processing Systems Kevin Banovic, Mohammed A. S. Khalid, and Esam Abdel-Raheem Presented By Kevin Banovic July 29, 2005 To be presented at the 48 th Midwest

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

Spatial Debug & Debug without re-programming in Microsemi FPGAs

Spatial Debug & Debug without re-programming in Microsemi FPGAs Power Matters. TM Spatial Debug & Debug without re-programming in Microsemi FPGAs Pankaj Shanker, Aditya Veluri, Kinshuk Sharma Systems Validation Group 21 Feb 2016 1 Agenda Traditional debug methods and

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Renesas 78K/78K0R/RL78 Family In-Circuit Emulation

Renesas 78K/78K0R/RL78 Family In-Circuit Emulation _ Technical Notes V9.12.225 Renesas 78K/78K0R/RL78 Family In-Circuit Emulation This document is intended to be used together with the CPU reference manual provided by the silicon vendor. This document

More information

Introduction. White Paper. Author

Introduction. White Paper. Author White Paper Methods and Tools for Bring-Up and Debug of an FPGA-Based ASIC Prototype A mix of good methodology and automation helps to locate and resolve prototype flaws and design bugs Author Troy Scott,

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

CAN on Integration Technologies

CAN on Integration Technologies CAN on Integration Technologies CAN technology has reached the mature state where the powerful network technology is well covered by standard parts; mainly processors with integrated CAN periphery. Nevertheless

More information

Digital Hardware-/Softwaresystems Specification

Digital Hardware-/Softwaresystems Specification Digital Hardware-/Softwaresystems Specification Seminar Architecture & Design Methods for Embedded Systems Summer Term 2006 University of Stuttgart Faculty of Computer Science, Electrical Engineering and

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

Definitions. Key Objectives

Definitions. Key Objectives CHAPTER 2 Definitions Key Objectives & Types of models & & Black box versus white box Definition of a test Functional verification requires that several elements are in place. It relies on the ability

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis EMA TimingDesigner The industry s most accurate static timing analysis Digital Timing Learn about: Using TimingDesigner to generate SDC for development of FPGA designs Using TimingDesigner to establish

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 13: Floorplanning Prof. Mingjie Lin Topics Partitioning a design with a floorplan. Performance improvements by constraining the critical path. Floorplanning

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC Borisav Jovanović, Miljana Sokolović, Milan Savić, Milun Jevtić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Efficient Hardware Acceleration on SoC- FPGA using OpenCL

Efficient Hardware Acceleration on SoC- FPGA using OpenCL Efficient Hardware Acceleration on SoC- FPGA using OpenCL Advisor : Dr. Benjamin Carrion Schafer Susmitha Gogineni 30 th August 17 Presentation Overview 1.Objective & Motivation 2.Configurable SoC -FPGA

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

SoC Design Environment with Automated Configurable Bus Generation for Rapid Prototyping

SoC Design Environment with Automated Configurable Bus Generation for Rapid Prototyping SoC esign Environment with utomated Configurable Bus Generation for Rapid Prototyping Sang-Heon Lee, Jae-Gon Lee, Seonpil Kim, Woong Hwangbo, Chong-Min Kyung P PElectrical Engineering epartment, KIST,

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms hoosing IP-XAT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms Emmanuel Vaumorin (Magillem Design Services) Motivation New needs

More information

Hardware, Software and Mechanical Cosimulation for Automotive Applications

Hardware, Software and Mechanical Cosimulation for Automotive Applications Hardware, Software and Mechanical Cosimulation for Automotive Applications P. Le Marrec, C.A. Valderrama, F. Hessel, A.A. Jerraya TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble France fphilippe.lemarrec,

More information

Digital Electronics 27. Digital System Design using PLDs

Digital Electronics 27. Digital System Design using PLDs 1 Module -27 Digital System Design 1. Introduction 2. Digital System Design 2.1 Standard (Fixed function) ICs based approach 2.2 Programmable ICs based approach 3. Comparison of Digital System Design approaches

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

Virtualizing the TCU of BMW's 8 speed transmission

Virtualizing the TCU of BMW's 8 speed transmission 10th Symposium on Automotive Powertrain Control Systems, 11. - 12. September 2014, Berlin Virtualizing the TCU of BMW's 8 speed transmission Rui Gaspar, Benno Wiesner, Gunther Bauer Abstract Virtualization

More information

The Trigger-Time-Event System for the W7-X Experiment

The Trigger-Time-Event System for the W7-X Experiment The Trigger-Time-Event System for the W7-X Experiment Jörg Schacht, Helmut Niedermeyer, Christian Wiencke, Jens Hildebrandt and Andreas Wassatsch Abstract-- All control and data acquisition systems of

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Clockless IC Design using Handshake Technology. Ad Peeters

Clockless IC Design using Handshake Technology. Ad Peeters Clockless IC Design using Handshake Technology Ad Peeters Handshake Solutions Philips Electronics Philips Semiconductors Philips Corporate Technologies Philips Medical Systems Lighting,... Philips Research

More information

DEVELOPMENT OF A DEBUG MODULE FOR A FPGA-BASED MICROCONTROLLER. Rainer Bermbach, Martin Kupfer

DEVELOPMENT OF A DEBUG MODULE FOR A FPGA-BASED MICROCONTROLLER. Rainer Bermbach, Martin Kupfer DEVELOPMENT OF A DEBUG MODULE FOR A FPGA-BASED MICROCONTROLLER Rainer Bermbach, Martin Kupfer University of Applied Sciences Braunschweig/Wolfenbuettel, Germany Abstract: Description of the development

More information

Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs

Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs Jürgen Schnerr 1, Oliver Bringmann 1, and Wolfgang Rosenstiel 1,2 1 FZI Forschungszentrum Informatik Haid-und-Neu-Str.

More information

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System.

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System. FT-UNSHADES Microelectronic Presentation Day February, 4th, 2004 J. Tombs & M.A. Aguirre jon@gte.esi.us.es, aguirre@gte.esi.us.es AICIA-GTE of The University of Sevilla (SPAIN) FT-UNSHADES credits UNiversity

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB Jan Schier Institute of Information Theory and Automation Academy of Sciences of the Czech Republic Abstract In the paper, the possibilities

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3. September 5, 2007 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

ECE332, Week 2, Lecture 3

ECE332, Week 2, Lecture 3 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Test requirements in networked systems

Test requirements in networked systems Test requirements in networked systems Jürgen Klüser, Vector Informatik GmbH The use of CAN with J1939 or CANopen based higher layers leads to cost efficient and flexible solutions, but together with a

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies

Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies CREST-DVLSI - Fundamental Technologies for Dependable VLSI Systems - Masahiro Fujita Shuichi Sakai Masahiro

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

EMULATOR SETUP MB BIT COMPACT-ICE

EMULATOR SETUP MB BIT COMPACT-ICE Fujitsu Microelectronics Europe Application Note MCU-AN-390077-E-V11 F²MC-16L/LX FAMILY 16-BIT MICROCONTROLLER MB903XX/4XX/5XX/6XX EMULATOR SETUP MB2147-05 16BIT COMPACT-ICE APPLICATION NOTE Revision History

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Low Power Design Techniques

Low Power Design Techniques Low Power Design Techniques August 2005, ver 1.0 Application Note 401 Introduction This application note provides low-power logic design techniques for Stratix II and Cyclone II devices. These devices

More information