Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman

Size: px
Start display at page:

Download "Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman"

Transcription

1 Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman 27/01/2016 1

2 Overview Common SDR approach Propposed approach The future of computing Hardware accelerated SDR Example Use case

3 Introduction APP APP APP Controller Controller Control Plane Control Protocols Data Plane

4 Common SDR approach Intensive signal processing is done in host PC Real time processing is hard to achieve Significant power and space consumption (no portability) USRP (N Series)? Host PC FPGA is seriously underutilized!

5 Common SDR approach Transmitter Coding & Interleaving QAM mapping Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

6 Common SDR approach Coding & Interleaving QAM mapping Baseband processing Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

7 Common SDR approach Coding & Interleaving QAM mapping Baseband processing Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

8 Common SDR approach Coding & Interleaving QAM mapping Baseband processing Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

9 Common SDR approach Coding & Interleaving QAM mapping Baseband processing Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

10 Common SDR approach Coding & Interleaving Baseband processing QAM mapping Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

11 Common SDR approach Coding & Interleaving Baseband processing QAM mapping Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

12 Common SDR approach Coding & Interleaving Baseband processing QAM mapping Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF?

13 Proposed approach Txside Coding & Interleaving QAM mapping Pilot Insertion & S/P IFFT P/S & add CP Pulse shaping DAC & RF

14 Proposed approach Rx side RF Rx ADC Timing and Freq Sync CP removal de coding deinterleaving QAM de mapping Equalizer P/S FFT S/P

15 Current Pure Software Computing approach Sources: Marr et al., Scaling Energy Per Operation via an Asynchronous Pipeline ; Koomey et al., Implications of Historical Trends in the Electrical Efficiency of Computing

16 Future computing Hybrid Software & Hardware approach Source: Qualcomm website ( )

17 Future computing Hybrid Software & Hardware approach (1) Source: Intel website ( )

18 Hardware accelerated SDR platform on top of Hybrid FPGA

19 Hardware accelerated SDR platform on top of Hybrid FPGA (1)

20 Hardware accelerated SDR platform on top of Hybrid FPGA (2) SW components GnuRadio with HW acceleration capabilities RFNoC Reconfiguration Capabilities SW HW interface shared memory separate control and data plane interfaces Xilinx Zynq Dual Core ARM Cortex A9 GNU Radio FPGA Accelerated Block Linux Kernel Device Driver RFNoC Router FPGA Accelerator FPGA Fabric

21 Hardware accelerated SDR platform on top of Hybrid FPGA (3) Real time re configurability Partial reconfiguration via PCAP Function A B1 Function B C1 Function C Configuration Port Full Bit File Configuration Port or ICAP Partial Bit Files

22 Hardware accelerated SDR platform on top of Hybrid FPGA (4)

23 Hardware accelerated SDR platform on top of Hybrid FPGA (5) This concept enables Offload of SW Radio blocks to FPGA Frees up processor to perform other tasks

24 Hardware accelerated SDR platform on top of Hybrid FPGA (6) This concept enables Offload of SW Radio blocks to FPGA Frees processor to perform other tasks Whole SDR system should fit on one board

25 Example Scenario Different applications different wireless standards BLE device device g device ac device Our platform should support various existing and future emerging wireless technologies at same time IoT HUB xyz device ah IoT-HUB Download SDR packages from cloud Air Interface as a Service Internet Repository of SDR library and HW ACC

26 Questions? 27/01/

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR Third Genera+on USRP Devices and the RF Network- On- Chip Leif Johansson Market Development RF, Comm and SDR About Ettus Research Leader in soeware defined radio and signals intelligence Maker of USRP

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

A Case Study in Incremental Prototyping with Reconfigurable Hardware: DSRC Software Defined-Radio

A Case Study in Incremental Prototyping with Reconfigurable Hardware: DSRC Software Defined-Radio A Case Study in Incremental Prototyping with Reconfigurable Hardware: DSRC Software Defined-Radio Jared Dulmage jaredd@ee.ucla.edu Minko Tsai minko@ee.ucla.edu Michael Fitz fitz@ee.ucla.edu Babak Daneshrad

More information

Hardware Accelerated SDR Platform for Adaptive Air Interfaces

Hardware Accelerated SDR Platform for Adaptive Air Interfaces Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman (Department of Information Technology (INTEC), Ghent University

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 Outline Motivation Current situation Goal RFNoC Basic concepts Architecture overview Summary No Demo! See our booth,

More information

The Tick Programmable Low-Latency SDR System

The Tick Programmable Low-Latency SDR System The Tick Programmable Low-Latency SDR System Haoyang Wu 1, Tao Wang 1, Zengwen Yuan 2, Chunyi Peng 3, Zhiwei Li 1, Zhaowei Tan 2, Boyan Ding 1, Xiaoguang Li 1, Yuanjie Li 2, Jun Liu 1, Songwu Lu 2 New

More information

Software Defined Radio (SDR) for Parallel SDR intro in a simple way Satellite Reception in Mobile/Deployable Ground Segments

Software Defined Radio (SDR) for Parallel SDR intro in a simple way Satellite Reception in Mobile/Deployable Ground Segments Software Defined Radio (SDR) for Parallel SDR intro in a simple way Satellite Reception in Mobile/Deployable Ground Segments Small Satellite Conference, Logan, Utah 11/08/2015 1 2 Dr Mark Bowyer & 1 Dr

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications Jonas Rutström Application Engineering 2015 The MathWorks, Inc. 1 Masterclass vs. Presentation? 2 What s a SoC? 3 What s a SoC? When we refer

More information

PicoZed SDR Development Kit Getting Started Guide Version 1.4

PicoZed SDR Development Kit Getting Started Guide Version 1.4 PicoZed SDR Development Kit Getting Started Guide Version 1.4 Page 1 Copyright 2017 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

CEVA-X1 Lightweight Multi-Purpose Processor for IoT

CEVA-X1 Lightweight Multi-Purpose Processor for IoT CEVA-X1 Lightweight Multi-Purpose Processor for IoT 1 Cellular IoT for The Massive Internet of Things Narrowband LTE Technologies Days Battery Life Years LTE-Advanced LTE Cat-1 Cat-M1 Cat-NB1 >10Mbps Up

More information

Ettus Research: Future Directions

Ettus Research: Future Directions Ettus Research: Future Directions Manuel Uhm Director of Marketing, Ettus Research Chair of the BoD, Wireless Innovation Forum manuel.uhm@ettus.com 408-610-6368 What s in a Title? RFNoC/Vivado HLS Challenge

More information

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs to Software Developers: Extending the Power of FPGAs to Software Developers: The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Group Page 1 Agenda The Evolution of FPGAs and FPGA Programming

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

GNU Radio and RFNoC in Space: How Hawkeye 360 uses GNU Radio on Small-Satellites

GNU Radio and RFNoC in Space: How Hawkeye 360 uses GNU Radio on Small-Satellites GNU Radio and RFNoC in Space: How Hawkeye 360 uses GNU Radio on Small-Satellites EJ Kreinar Dan CaJacob Topics Hawkeye 360 Overview Gnuradio + RFNoC: Prototype and Production Use-Case Example: Custom OQPSK

More information

RAIN SDR Quick Start Manual RAIN SDR. Quick Start Manual

RAIN SDR Quick Start Manual RAIN SDR. Quick Start Manual RAIN SDR Quick Start Manual The following table RAIN shows SDR the Quick revision Start history Manual Revision History The following table shows the revision history for this document.change bars indicate

More information

Towards an Open Source IEEE p Stack

Towards an Open Source IEEE p Stack Towards an Open Source IEEE 802.11p Stack Bastian Bloessl Computer and Communication Systems Group, University of Innsbruck, Austria February 2014 FOSDEM SDR Dev Room Brussels, Belgium

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications Gaurav Dubey Durvesh Kulkarni 2015 The MathWorks, Inc. 1 Key trend: Increasing demands from motor drives Advanced algorithms require faster

More information

Hardware-Software Design of Embedded Systems

Hardware-Software Design of Embedded Systems Universität Dortmund Hardware-Software Design of Embedded Systems Credits: Marwedel 2013, Wolf 2008 Luca Benini DEIS Università di Bologna AA 2017-2018 Universität Dortmund Motivation for Course Electronics

More information

HEAD HardwarE Accelerated Deduplication

HEAD HardwarE Accelerated Deduplication HEAD HardwarE Accelerated Deduplication Final Report CS710 Computing Acceleration with FPGA December 9, 2016 Insu Jang Seikwon Kim Seonyoung Lee Executive Summary A-Z development of deduplication SW version

More information

Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm

Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm Engineering Director, Xilinx Silicon Architecture Group Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm Presented By Kees Vissers Fellow February 25, FPGA 2019 Technology scaling

More information

Virtualization in Wireless Networks

Virtualization in Wireless Networks ORCA-PROJECT.EU Virtualization in Wireless Networks Jonathan van de Belt, Yi Zhang CONNECT, TCD CROWNCOM 2018 TUTORIAL Gent 20-09-2018 ORCHESTRATION AND RECONFIGURATION CONTROL ARCHITECTURE Why use virtualization?

More information

Programable Radio Unit Accelerating SDR System Application

Programable Radio Unit Accelerating SDR System Application Programable Radio Unit Accelerating SDR System Application Company Profile V3 Technology Co., Ltd., established in 2008, focuses on providing innovative Scientific Research and Education solutions. It

More information

Hardware Acceleration of Feature Detection and Description Algorithms on Low Power Embedded Platforms

Hardware Acceleration of Feature Detection and Description Algorithms on Low Power Embedded Platforms Hardware Acceleration of Feature Detection and Description Algorithms on LowPower Embedded Platforms Onur Ulusel, Christopher Picardo, Christopher Harris, Sherief Reda, R. Iris Bahar, School of Engineering,

More information

STEMlab STEMlab STEMLab (orignallly Red Pitaya v1.1) vs. STEMLab

STEMlab STEMlab STEMLab (orignallly Red Pitaya v1.1) vs. STEMLab STEMlab 125-14 STEMlab 125-10 STEMLab 125-14 (orignallly Red Pitaya v1.1) vs. STEMLab 125-10 STEMLab is available in two versions and both offer the same functions and features. With the difference in

More information

Performance Overhead with High Level Waveform Development

Performance Overhead with High Level Waveform Development Performance Overhead with High Level Waveform Development Stefan Nagel, Michael Schwall, Friedrich K. Jondral 2010 European Reconfigurable Radio Technologies Workshop June 23-25, 2010 Mainz, Germany Communications

More information

Hardware Acceleration of a Software-based VPN

Hardware Acceleration of a Software-based VPN Hardware Acceleration of a Software-based VPN Furkan Turan Ruan de Clercq, Pieter Maene, Oscar Reparaz Ingrid Verbauwhede KU Leuven - COSIC VPN Introduction VPN (Virtual Private Network) encrypts the communication

More information

Managing Dynamic Partial Reconfiguration on Embedded SDR Platforms

Managing Dynamic Partial Reconfiguration on Embedded SDR Platforms ' ( %& ( ) &*++, Authors: Jean-Philippe Delahaye Christophe Moy Pierre Leray Jacques Palicot Managing Dynamic Partial Reconfiguration on Embedded SDR Platforms Christophe MOY Associate Professor at Supélec!"#"$

More information

How to Efficiently Implement Flexible and Full-Featured Digital Radio Solutions Using All Programmable SoCs

How to Efficiently Implement Flexible and Full-Featured Digital Radio Solutions Using All Programmable SoCs Delivering a Generation Ahead How to Efficiently Implement Flexible and Full-Featured Digital Radio Solutions Using All Programmable SoCs Agenda Introduction to Mobile Network Introduction to Xilinx Solution

More information

PicoSDR goes GNU Radio. Tristan Martin Jan 2013

PicoSDR goes GNU Radio. Tristan Martin Jan 2013 Tristan Martin Jan 2013 Table of content Model Based Design tool for FPGA Development (MBDK) Model Based Design tool for host development (GNU Radio) PicoSDR : High End MIMO RF frontend for GNU Radio Radio420M

More information

ReDECTed Building an SDR based DECT sniffer. May 27 th, 2015 HITB HAXPO Marc Newlin

ReDECTed Building an SDR based DECT sniffer. May 27 th, 2015 HITB HAXPO Marc Newlin ReDECTed Building an SDR based DECT sniffer May 27 th, 2015 HITB HAXPO Marc Newlin What is a DECT sniffer? DECT is the ubiquitous wireless protocol used by cordless phones A DECT sniffer uses an SDR to

More information

Cognitive Radio Platform Research at WINLAB

Cognitive Radio Platform Research at WINLAB Cognitive Radio Platform Research at WINLAB December 2, 2010 Zoran Miljanic and Ivan Seskar WINLAB Rutgers University www.winlab.rutgers.edu 1 WiNC2R objectives Programmable processing of phy and higher

More information

Throughput Exploration and Optimization of a Consumer Camera Interface for a Reconfigurable Platform

Throughput Exploration and Optimization of a Consumer Camera Interface for a Reconfigurable Platform Throughput Exploration and Optimization of a Consumer Camera Interface for a Reconfigurable Platform By: Floris Driessen (f.c.driessen@student.tue.nl) Introduction 1 Video applications on embedded platforms

More information

High Performance Reconfigurable Software Defined Radio Platform IMECAS

High Performance Reconfigurable Software Defined Radio Platform IMECAS High Performance Reconfigurable Software Defined Radio Platform IMECAS Contents 1. Introduction 2. SDR01 platform 3. SDR02 platform 4. SDR platform with OpenAirInterface (OAI) 5. Conclusion Contents 1.

More information

Simplifying FPGA Design with A Novel Network-on-Chip Architecture

Simplifying FPGA Design with A Novel Network-on-Chip Architecture Simplifying FPGA Design with A Novel Network-on-Chip Architecture ABSTRACT John Malsbury Ettus Research 1043 N Shoreline Blvd Suite 100 +1 (650) 967-2870 john.malsbury@ettus.com As wireless communications

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

OpenDataPlane (ODP) A Quick Introduction and Overview. Linaro Networking Group (LNG) Presented by Bill Fischofer.

OpenDataPlane (ODP) A Quick Introduction and Overview. Linaro Networking Group (LNG) Presented by Bill Fischofer. OpenDataPlane (ODP) A Quick Introduction and Overview Linaro Networking Group (LNG) Presented by Bill Fischofer Date October 2015 Discussion Topics Requirements that motivate and drive ODP What is ODP?

More information

XMC-SDR-A. XMC Zynq MPSoC + Dual ADRV9009 module. Preliminary Information Subject To Change. Overview. Key Features. Typical Applications

XMC-SDR-A. XMC Zynq MPSoC + Dual ADRV9009 module. Preliminary Information Subject To Change. Overview. Key Features. Typical Applications Preliminary Information Subject To Change XMC-SDR-A XMC Zynq MPSoC + Dual ADRV9009 module Overview PanaTeQ s XMC-SDR-A is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx

More information

Playback function and equalizer on SDR14

Playback function and equalizer on SDR14 1 (9) Application Note: Playback function and equalizer on SDR14 Table of Contents Introduction... 2 Playback in standard firmware... 2 Activating Playback... 2 AWG check function... 3 Specification...

More information

A System Solution for High-Performance, Low Power SDR

A System Solution for High-Performance, Low Power SDR A System Solution for High-Performance, Low Power SDR Yuan Lin 1, Hyunseok Lee 1, Yoav Harel 1, Mark Woh 1, Scott Mahlke 1, Trevor Mudge 1 and Krisztián Flautner 2 1 Advanced Computer Architecture Laboratory

More information

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation A Low-Cost Embedded SDR Solution for Prototyping and Experimentation Christopher R. Anderson 1, George Schaertl 1, and Philip Balister 2 1 Wireless Measurements Group Electrical and Computer Engineering

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

The Design of Sobel Edge Extraction System on FPGA

The Design of Sobel Edge Extraction System on FPGA The Design of Sobel Edge Extraction System on FPGA Yu ZHENG 1, * 1 School of software, Beijing University of technology, Beijing 100124, China; Abstract. Edge is a basic feature of an image, the purpose

More information

TI SimpleLink dual-band CC1350 wireless MCU

TI SimpleLink dual-band CC1350 wireless MCU TI SimpleLink dual-band CC1350 wireless MCU Sub-1 GHz and Bluetooth low energy in a single-chip Presenter Low-Power Connectivity Solutions 1 SimpleLink ultra-low power platform CC2640: Bluetooth low energy

More information

An Architectural Framework for Accelerating Dynamic Parallel Algorithms on Reconfigurable Hardware

An Architectural Framework for Accelerating Dynamic Parallel Algorithms on Reconfigurable Hardware An Architectural Framework for Accelerating Dynamic Parallel Algorithms on Reconfigurable Hardware Tao Chen, Shreesha Srinath Christopher Batten, G. Edward Suh Computer Systems Laboratory School of Electrical

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

Adaptable Intelligence The Next Computing Era

Adaptable Intelligence The Next Computing Era Adaptable Intelligence The Next Computing Era Hot Chips, August 21, 2018 Victor Peng, CEO, Xilinx Pervasive Intelligence from Cloud to Edge to Endpoints >> 1 Exponential Growth and Opportunities Data Explosion

More information

OpenAirInterface (OAI): A flexible open-source 4G/5G SDR Platform. Giovanni Rigazzi Andrea Tassi

OpenAirInterface (OAI): A flexible open-source 4G/5G SDR Platform. Giovanni Rigazzi Andrea Tassi OpenAirInterface (OAI): A flexible open-source 4G/5G SDR Platform Giovanni Rigazzi Andrea Tassi Summary Introduction Popular SDR platforms OAI Software and Hardware platforms 5G experimentations Next steps

More information

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis OpenRadio A programmable wireless dataplane Manu Bansal Stanford University Joint work with Jeff Mehlman, Sachin Katti, Phil Levis HotSDN 12, August 13, 2012, Helsinki, Finland 2 Opening up the radio Why?

More information

Leveraging Mobile GPUs for Flexible High-speed Wireless Communication

Leveraging Mobile GPUs for Flexible High-speed Wireless Communication 0 Leveraging Mobile GPUs for Flexible High-speed Wireless Communication Qi Zheng, Cao Gao, Trevor Mudge, Ronald Dreslinski *, Ann Arbor The 3 rd International Workshop on Parallelism in Mobile Platforms

More information

IoT Market: Three Classes of Devices

IoT Market: Three Classes of Devices IoT Market: Three Classes of Devices Typical Silicon BOM PC-Like Embedded Devices ~100 million units ATM, Retail Point of Service Intel Core $100+ Smart Things ~800 million units PLC, Edge Gateway, Thermostat

More information

Design AXI Master IP using Vivado HLS tool

Design AXI Master IP using Vivado HLS tool W H I T E P A P E R Venkatesh W VLSI Design Engineer and Srikanth Reddy Sr.VLSI Design Engineer Design AXI Master IP using Vivado HLS tool Abstract Vivado HLS (High-Level Synthesis) tool converts C, C++

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Apps with Hardware Enabling Run-time Architectural Customization in Smart Phones

Apps with Hardware Enabling Run-time Architectural Customization in Smart Phones Apps with Hardware Enabling Run-time Architectural Customization in Smart Phones Michael Coughlin, Ali Ismail, Eric Keller University of Colorado Boulder Mobile Devices Devices are designed around certain

More information

融入 Python 生态的 Zynq 软硬件设计框架

融入 Python 生态的 Zynq 软硬件设计框架 Python Productivity for Zynq 融入 Python 生态的 Zynq 软硬件设计框架 陆佳华 Xilinx 教育与创新生态高级经理 joshual@xilinx.com Python is increasingly the Language of Choice Top Programming Languages, IEEE Spectrum, July 18 July 17

More information

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB All Programmable SoC based on FPGA for IoT Maria Liz Crespo ICTP MLAB mcrespo@ictp.it 1 ICTP MLAB 2 ICTP MLAB The MLAB was created in 1985 as a joint venture between ICTP and INFN with the aim of having

More information

ECE 598HH: Special Topics in Wireless Networks and Mobile Systems. Lecture 17: Software Defined Radio Tutorial Haitham Hassanieh

ECE 598HH: Special Topics in Wireless Networks and Mobile Systems. Lecture 17: Software Defined Radio Tutorial Haitham Hassanieh ECE 598HH: Special Topics in Wireless Networks and Mobile Systems Lecture 17: Software Defined Radio Tutorial Haitham Hassanieh Software Defined Radios Idea: Flexibility, Portability, Multifunction Programmable

More information

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications NanoMind Z7000 Datasheet On-board CPU and FPGA for space applications 1 Table of Contents 1 TABLE OF CONTENTS... 2 2 OVERVIEW... 3 2.1 HIGHLIGHTED FEATURES... 3 2.2 BLOCK DIAGRAM... 4 2.3 FUNCTIONAL DESCRIPTION...

More information

Zynq Ultrascale+ Architecture

Zynq Ultrascale+ Architecture Zynq Ultrascale+ Architecture Stephanie Soldavini and Andrew Ramsey CMPE-550 Dec 2017 Soldavini, Ramsey (CMPE-550) Zynq Ultrascale+ Architecture Dec 2017 1 / 17 Agenda Heterogeneous Computing Zynq Ultrascale+

More information

CanSCA4.1ReplaceSTRSinSpace Applications?

CanSCA4.1ReplaceSTRSinSpace Applications? CanSCA4.1ReplaceSTRSinSpace Applications? RanCheng,LiZhou,QiTang,Dongtang Ma, Haitao Zhao,ShanWangandJibo Wei NationalUniversityofDefenseTechnology May17,2017 1 Outline 1. Introduction 2. Core Framework

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA 1 FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA Compiler Tan Nguyen 1, Swathi Gurumani 1, Kyle Rupnow 1, Deming Chen 2 1 Advanced Digital Sciences Center, Singapore {tan.nguyen,

More information

An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform

An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel To cite this version: Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel. An ARM-based

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator FPGA Kongress München 2017 Martin Heimlicher Enclustra GmbH Agenda 2 What is Visual System Integrator? Introduction Platform

More information

Wireless Radio Platforms

Wireless Radio Platforms www.openairinterface.org Wireless Radio Platforms Mobile Communications Department Eurecom (Collaboration with SoC Laboratory, Telecom ParisTech Sophia) Facts OpenAirInterface Platform: Integrated RF/BB

More information

Designing RISC-V from the.pdf / A baseband processor extension to the ISA

Designing RISC-V from the.pdf / A baseband processor extension to the ISA Designing RISC-V from the.pdf / A baseband processor extension to the ISA Cecil Accetti R. de A. Melo cecaccetti@sjtu.edu.cn 6 th RISC-V workshop Shanghai, China May 8-10 2017 Shanghai Key Laboratory of

More information

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications White Paper Introduction Emerging wireless applications such as remote radio heads, pico/femto base stations, WiMAX customer premises equipment (CPE), and software defined radio (SDR) have stringent power

More information

Energy consumption optimization for a wireless sensor for the IOT

Energy consumption optimization for a wireless sensor for the IOT Energy consumption optimization for a wireless sensor for the IOT Francesco Orfei NiPS Lab, University of Perugia, Italy TSP S.r.l., Umbertide, IT francesco.orfei@nipslab.org Outline Introduction to the

More information

The Many Dimensions of SDR Hardware

The Many Dimensions of SDR Hardware The Many Dimensions of SDR Hardware Plotting a Course for the Hardware Behind the Software Sept 2017 John Orlando Epiq Solutions LO RFIC Epiq Solutions in a Nutshell Schaumburg, IL EST 2009 N. Virginia

More information

SOFTWARE DEFINED RADIO

SOFTWARE DEFINED RADIO SOFTWARE DEFINED RADIO USR SDR WORKSHOP, SEPTEMBER 2017 PROF. MARCELO SEGURA SESSION 1: SDR PLATFORMS 1 PARAMETER TO BE CONSIDER 2 Bandwidth: bigger band better analysis possibilities. Spurious free BW:

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

A Survey on Inner FPGA Communication Path of USRP

A Survey on Inner FPGA Communication Path of USRP A Survey on Inner FPGA Communication Path of USRP Vandana D. Parmar 1, Bhavika A. Vithalpara 2, Sudhir Agrawal 3, Pratik Kadecha 4 1 PG Trainee student, SAC, ISRO (Atmiya Institue of Techonoly and Science,

More information

UCT Software-Defined Radio Research Group

UCT Software-Defined Radio Research Group UCT Software-Defined Radio Research Group UCT SDRRG Team UCT Faculty: Alan Langman Mike Inggs Simon Winberg PhD Students: Brandon Hamilton MSc Students: Bruce Raw Gordon Inggs Simon Scott Joseph Wamicha

More information

Cognitive radio technology and GENI project

Cognitive radio technology and GENI project Cognitive radio technology and GENI project Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu Contact: seskar (at) winlab (dot) rutgers (dot) edu ORBIT Cognitive Capable Platforms

More information

USRP 5G Product Update

USRP 5G Product Update USRP 5G Product Update Tom Tsou Ettus Research 5th OAI Workshop, Beijing China June 22, 2018 ni.com Agenda USRP product update Application to 5G-NR Feature: Synchronization over Ethernet Product Updates

More information

Silicon Motion s Graphics Display SoCs

Silicon Motion s Graphics Display SoCs WHITE PAPER Silicon Motion s Graphics Display SoCs Enable 4K High Definition and Low Power Power and bandwidth: the twin challenges of implementing a solution for bridging any computer to any high-definition

More information

SMT9091 SMT148-FX-SMT351T/SMT391

SMT9091 SMT148-FX-SMT351T/SMT391 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: This Document provides an overview of the developed system key features. SMT148-FX-SMT351T/SMT391 E.Puillet

More information

Build the unified end to end IoT solution on ARM LEADING COLLABORATION IN THE ARM ECOSYSTEM

Build the unified end to end IoT solution on ARM LEADING COLLABORATION IN THE ARM ECOSYSTEM Build the unified end to end IoT solution on ARM LEADING COLLABORATION IN THE ARM ECOSYSTEM Agenda Linaro Linaro s IoT efforts Demo Business Models Design and sell x86 chips 2016 $59.5Bn Revenue Sells

More information

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Ke He Supervisor: Prof Robert W Stewart Dr Louise Crockett Outline Motivation and Objective Reconfiguration Technologies

More information

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation A Low-Cost Embedded SDR Solution for Prototyping and Experimentation United States Naval Academy Dr. Christopher R. Anderson Ensign George Schaertl OpenSDR Mr. Philip Balister Presentation Overview Background

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

Open Mobile Platforms. EE 392I, Lecture-6 May 4 th, 2010

Open Mobile Platforms. EE 392I, Lecture-6 May 4 th, 2010 Open Mobile Platforms EE 392I, Lecture-6 May 4 th, 2010 Open Mobile Platforms The Android Initiative T-Mobile s ongoing focus on Android based devices in US and EU markets In Nov 2007, Google announced

More information

SDR Forum Technical Conference 2007

SDR Forum Technical Conference 2007 THE APPLICATION OF A NOVEL ADAPTIVE DYNAMIC VOLTAGE SCALING SCHEME TO SOFTWARE DEFINED RADIO Craig Dolwin (Toshiba Research Europe Ltd, Bristol, UK, craig.dolwin@toshiba-trel.com) ABSTRACT This paper presents

More information

Trigger on TSW1400 DAC and ADC HSDC Pro

Trigger on TSW1400 DAC and ADC HSDC Pro Trigger on TSW1400 DAC and ADC HSDC Pro 4.10 + DAC Trigger functionality The DAC functionality for Triggering allows and external trigger on the J11 External Trig Input SMA. This rising edge will cause

More information

BEST Paper MobiCom 2017 Zhijun Li and Tian He

BEST Paper MobiCom 2017 Zhijun Li and Tian He BEST Paper Award @ MobiCom 2017 Zhijun Li and Tian He Computer Science and Engineering University of Minnesota Wireless is Everywhere 2 and Increases Rapidly 1.8B ~4B 130M Source: ABI Research

More information

Innovation Technology for Future Convergence Network

Innovation Technology for Future Convergence Network KRnet 2013 Keynote Speech Innovation Technology for Future Convergence Network Jinsung Choi, Ph.D. EVP, Head of ICT R&D Division, SK Telecom Contents I. Key Trends Driving Network Evolution II. Innovation

More information

Considerations for SDR Implementations in Commercial Radio Networks

Considerations for SDR Implementations in Commercial Radio Networks Considerations for SDR Implementations in Commercial Radio Networks Hans-Otto Scheck Nokia Networks P.O.Box 301 FIN-00045 Nokia Group hans-otto.scheck@nokia.com ETSI Software Defined Radio (SDR) / Cognitive

More information

PLUSOPTIC NIC-PCIE-2SFP+-V2-PLU

PLUSOPTIC NIC-PCIE-2SFP+-V2-PLU PLUSOPTIC NIC-PCIE-2SFP+-V2-PLU PCI Express v3.0 x8 Dual Port SFP+ 10 Gigabit Server Adapter (Intel X710- BM2 Based) Overview: NIC-PCIE-2SFP+-V2-PLU is PLUSOPTIC a new generation of high-performance server

More information

The Open-Source SDR LTE Platform for First Responders. Software Radio Systems

The Open-Source SDR LTE Platform for First Responders. Software Radio Systems The Open-Source SDR LTE Platform for First Responders Software Radio Systems www.softwareradiosystems.com www.github.com/srslte Outline SRS - Software Radio Systems NIST PSIAP and OpenFirst srslte The

More information

Inference

Inference Inference Architectures @Xilinx Graham Schelle, PhD Principal Engineer Xilinx Research Labs Xilinx Headlines!2 Twitch Chooses Xilinx to Enable its Broadcast-quality Livestream of esports Agenda Xilinx

More information

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices Dr Jose Luis Nunez-Yanez University of Bristol Power and energy savings at run-time Power = α.c.v 2.f+g1.V 3 Energy =

More information

Practical Parallella expansion board design

Practical Parallella expansion board design My path to an autonomous SDR device PTC Tokyo, May 30th, 2015 Outline 1 Introduction 2 Application 3 4 SDR 5 The End About the speaker Linux and free software geek since 1999 M.Sc. in C.S. + some E.E.

More information