Managing Dynamic Partial Reconfiguration on Embedded SDR Platforms

Size: px
Start display at page:

Download "Managing Dynamic Partial Reconfiguration on Embedded SDR Platforms"

Transcription

1 ' ( %& ( ) &*++, Authors: Jean-Philippe Delahaye Christophe Moy Pierre Leray Jacques Palicot Managing Dynamic Partial Reconfiguration on Embedded SDR Platforms Christophe MOY Associate Professor at Supélec!"#"$ %& % UMR

2 -. %&.! && &(&. ' %& &(&. / & &%&. SDRF Technical Conference 05 - November Anaheim 2

3 . %&.! && &(&. ' %& &(&. / & &%&. SDRF Technical Conference 05 - November Anaheim 3

4 %0 &%& SDR multi-standard terminal architecture Classical approach: Multiple architecture for multiple standards Software-Defined Radio approach : Single architecture for multiple standards Mode 1 Mode 2 Mode n Terminal with duplicated HW for each standard Terminal with a common configurable computing architecture for all standards SDRF Technical Conference 05 - November Anaheim 4

5 %& INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES Our view of configuration management PHY Goals adapt signal processing functionality to the radio application needs perform reconfiguration over heterogeneous computing resources control the reconfiguration process Constraint run-time reconfiguration requirements SDRF Technical Conference 05 - November Anaheim 5

6 '&%& Configuration management units (CMU): distributed over processing blocks INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES Configuration Path Config. Manager Unit 1 Config. Manager Unit 2 Config. Manager Unit N Processing Block Unit 1 Processing DataPath Processing Block Unit 2 Processing Block Unit N Different kinds of CMU processing nature underlying hardware depth of reconfiguration Config. Manag. Unit Configuration Path Unit Function Config. Info Time to Config. Current Function State of Config. Configuration Config. Info Data Validity Manager Config. link {Config Control} Data Configurable IN Data OUT Processing Resources Processing DataPath Unit SDRF Technical Conference 05 - November Anaheim 6

7 ! & &%& Expected scenarios standard changing mode changing service changing performance enhancement bug fixing higher granularity Data IN Processing Block 1 Processing Block 2 f 1,1 f n,1 f i,i f 1,n f n,n Processing Block N Data OUT SDRF Technical Conference 05 - November Anaheim 7

8 1& &( %& Identified constraints for SDR distributed management multi-granularity issue in function of the HW support INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES L1_CM : Global manager Standard parameter control Dispatches orders to lower layers Config. Management Level 1 Config. Manager L1_CM Standard Set! "! L2_CMUs : Function Level Independent of the HW Manages several elementary PBprocessing blocks of lower granul. Config. Management Level 2 L2_CMU Function Set L2_CMU Function Set L2_CMU Function Set L2_CMU Function Set L3_CMUs : Processing blocks configuration Embedded very closely to the PB Dedicated to the nature of reconfigurable resources L3_CMU Block Set Config. Management Level 3 L3_CMU Block Set L3_CMU Block Set SDRF Technical Conference 05 - November Anaheim 8

9 -. %&.! && &(&. ' %& &(&. / & &%&. SDRF Technical Conference 05 - November Anaheim 9

10 & % & Baseband transmitter chain GSM (Voice, mono carrier) UMTS (Data, High Mobility, CDMA ) g OFDM Mode (High Data rate, multi-carrier) # Coding functions Data structuring functions Modulation functions Code 1 (Block) Code 2 (convoluti onal) Reordering and Partitioning Interleaving Cryptological unit Burst Bulding Burst Multiplexing Differential encoding Filtering $%$%&'(( Code Code 1 1 (CRC) (CRC) Block concat/segmen Code 2 (conv. ou turbo) Frame Frame equalisation equalisation Interleaving Interleaving (1 (1 st st ) ) Frame segment. Rate matching TrChannel Multiplex PhyCha segmentation Interleaving Interleaving (2 (2 nd nd ) ) Mapping Spreading Scrambling Filtering )*!+,( Scrambling Code 2 Puncturing (convoluti onnel) Interleaving Mapping OFDM Modulation Filtering SDRF Technical Conference 05 - November Anaheim 10

11 % & Classification of processing functions in 3 classes depending on processing nature INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES Data Structuring Class Memory Intensive Coding Class Flexibility Intensive Modulation Class Computation Intensive deduction of the mapping on 3 clusters HW resources Array of MemBlocks µp DSP CoP CoP CoP FPGA DSP HAcc. HAcc. SDRF Technical Conference 05 - November Anaheim 11

12 ! & Distributed processing Heterogeneous processing devices depending on processing nature INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES 3 clusters of HW resources Different architecture granularities have to considered depending on processor and processing nature Very close to configuration management considerations Both can easily be merged in the global SDR system design SDRF Technical Conference 05 - November Anaheim 12

13 -. %&.! && &(&. ' %& &(&. / & &%&. SDRF Technical Conference 05 - November Anaheim 13

14 1& &( % &(& Combine both reconfiguration management - hierarchical data processing - distributed INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES " #! Config. Manager L1_CM Standard Set Coding PC Data Structuring PC Modulation PC L2_CMU Function Set L3_CMU Block Set L2_CMU Function Set L3_CMU Block Set L2_CMU Function Set L3_CMU Block Set PF 3 PF 1 PF 2 PF 5 PB 1 PB 2 PB 3 PF 4 PB 5 PB 4 Processing cluster level Processing Function level (independent of the HW) Processing Blocks level (deployed on the HW) SDRF Technical Conference 05 - November Anaheim 14

15 &(& INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES && )0 Hierarchical approach for SDR system Design L1_CM + µp L2_CMUs Fct µp Program Mem. L2_CMUs Fct µp Program Mem. L2_CMUs Fct µp Program Mem. L3_CMUs L3_ CMUs L3_ CMUs Core µp Cop1 DSP Core µp Array of BlockRAM µp Core µp HW Acc1 DSP DMA Data Mem. Data Mem. Data Mem. Coding Cluster Data Structuring Cluster Modulation Cluster SDRF Technical Conference 05 - November Anaheim 15

16 ! %( INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES % &(& HW platform composed of 3 processing units L1_CM + µp Extern. Storage Mem Standards Parameters GPP Standards Parameters T 1_L1_CM L2_CMUs Fct µp Program Mem. Fct Core Fct Lib. Core Fct Lib. Core T 1_DS T 2_DS T 4 T 3_DS L3_ CMUs Core µp Modulation Cluster HW Acc1 DSP FPGA Soft µp Core T 1_L3 DSP Fct T 1_L2 T 1_L3 Data Mem. HW Acc HW Acc Cop Cop Cop Configurable Array of BlockRAM T 2 _Md T3 _Md T1_Md SDRF Technical Conference 05 - November Anaheim 16 T 4_Cd

17 Heterogeneous processing units & %& GPP+Memory : host PC FPGA : Xilinx Virtex II DSP : TI C64 Extern. Storage Mem Fct Core Standards Parameters Fct Lib. Core Fct Lib. Core GPP T 1_DS Standards Parameters T 2_DS T 1_L1_CM T 4 T 3_DS FPGA Soft µp Core T 1_L3 DSP Fct T 1_L2 T 1_L3 HW Acc HW Acc Cop Cop Cop Configurable Array of BlockRAM T 2 _Md T3 _Md T1_Md T 4_Cd SDRF Technical Conference 05 - November Anaheim 17

18 -. %&.! && &(&. ' %& &(&. / & &%&. SDRF Technical Conference 05 - November Anaheim 18

19 & &%& %/ Partial reconfiguration save reconfiguration time save bandwidth for OTAR by downloading FPGA context dissuasive time of total reconfiguration for large FPGA (~100 ms per million gates - Virtex) memory limitations to store total bitstreams control resource savings a plurality of successive designs instead of heavy control state machines permits to modify the structure of a design SDRF Technical Conference 05 - November Anaheim 19

20 /( % &(& Common operator approach parameters change the operator functionality managed at the L3_CMU level FPGA fixed area for management contents Processor CORE (µblaze/ppc for Xilinx) operators dedicated state machines FGPA dynamically reconfigurable area operators parameters (managed by L3_CMU) intra-operator routing (managed by L3_CMU) inter-operator data flow changes (m.b. L2_CMU) INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES SDRF Technical Conference 05 - November Anaheim 20

21 / INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES & &%& Module-based design flow on Virtex II devices Object 1!!$% Object 2! FPGA " & '( Multi-objects in large FPGAs FPGA Obj. 1 Obj. 2!!$% Obj. 3 Obj. 2! FPGA " & FPGA SDRF Technical Conference 05 - November Anaheim 21

22 DSP device downloads bitstreams through SelectMap interface SRAM bitstream storage 2& &%& Reconfigurable Reconfigurable Logic Parts Logic Columns FPGA Configuration management external L2_CMU on DSP R ToT F B U S Fixed Logic Parts SRAM Bitstream Storage DSP µp Config. controller Interface Controller SelectMap/JTAG link Configuration transfert (bitsream) L3_CMU wired in the FPGA within or close to operators SDRF Technical Conference 05 - November Anaheim 22

23 µblaze Read/write bitstreams into ICAP Boot loader if no DSP initial config. instanciates ICAP, µblaze & &%& Reconfigurable Logic Parts FPGA Configuration management R ToT F B U S RTF R2F Interface P PC/µBlaze Config. controller ICAP Controller CoreConnect ICAP PLB/OPB ICAP internal L2_CMU: µblaze embedded in the FPGA flexible L3_CMU: µblaze embedded in the FPGA ComPort or/and L3_CMU wired in the FPGA within operators SRAM Bitstream Storage Boot Loader SDRF Technical Conference 05 - November Anaheim 23

24 -. %&.! && &(&. ' %& &(&. / & &%&. SDRF Technical Conference 05 - November Anaheim 24

25 Multi-level granularity needs for reconfiguration of SDR have been identified Hierarchical configuration management to optimize reconfiguration is proposed Multi-standard functional architecture model Deduction of an SDR architecture applicable to any hardware platform Support any partial reconfiguration of the SDR processing chain Illustrated by a FPGA partial reconfiguration implementation SDRF Technical Conference 05 - November Anaheim 25

26 ( 3%&& SDRF Technical Conference 05 - November Anaheim 26

A Reconfigurable Baseband Transmitter for Adaptive Image Coding

A Reconfigurable Baseband Transmitter for Adaptive Image Coding A Reconfigurable Baseband Transmitter for Adaptive Image Coding Amor NAFKHA, Renaud SEGUIER, Jacques PALICOT, Christophe MOY, Jean-Philippe DELAHAYE IETR/Supélec - Campus de Rennes Avenue de la Boulaie,

More information

From a Configuration Management to a Cognitive Radio Management of SDR Systems

From a Configuration Management to a Cognitive Radio Management of SDR Systems From a Configuration Management to a Cognitive Radio Management of SDR Systems Loig Godard, Christophe Moy, Jacques Palicot To cite this version: Loig Godard, Christophe Moy, Jacques Palicot. From a Configuration

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB.

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB. Agenda The topics that will be addressed are: Scheduling tasks on Reconfigurable FPGA architectures Mauro Marinoni ReTiS Lab, TeCIP Institute Scuola superiore Sant Anna - Pisa Overview on basic characteristics

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

Software radio and dynamic reconfiguration on a DSP/FPGA platform

Software radio and dynamic reconfiguration on a DSP/FPGA platform Software radio and dynamic reconfiguration on a DSP/FPGA platform Jean-Philippe Delahaye, Guy Gogniat, Christian Roland, Pierre Bomel To cite this version: Jean-Philippe Delahaye, Guy Gogniat, Christian

More information

Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman

Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman 27/01/2016 1 Overview Common SDR approach Propposed approach

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

A Software Defined Radio Proof-of-Concept Demonstration Platform

A Software Defined Radio Proof-of-Concept Demonstration Platform A Software Defined Radio Proof-of-Concept Demonstration Platform Shi Zhong, Craig Dolwin, Rollo Burgess Toshiba Research Europe Limited, 32 Queen Square, Bristol, BS1 4ND, UK; {shi.zhong,craig.dolwin}@toshiba-trel.com;

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

HW and SW Architectures for Over-The-Air Dynamic Reconfiguration by Software Download

HW and SW Architectures for Over-The-Air Dynamic Reconfiguration by Software Download Information Technology Center Europe Telecommunications Laboratory HW and SW Architectures for Over-The-Air Dynamic Reconfiguration by Software Download a proof of concept by lab experimentation Christophe

More information

User Manual for DVIP

User Manual for DVIP Sundance Multiprocessor Technology Limited Form : QCF42 User Manual Date : 6 July 2006 Unit / Module Description: Unit / Module Number: DVIP (Digital Video Infrastructure Platform) System DVIP Document

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

SMT9091 SMT148-FX-SMT351T/SMT391

SMT9091 SMT148-FX-SMT351T/SMT391 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: This Document provides an overview of the developed system key features. SMT148-FX-SMT351T/SMT391 E.Puillet

More information

AVSynDEx Methodology For Fast Prototyping of Multi-C6x DSP Architectures

AVSynDEx Methodology For Fast Prototyping of Multi-C6x DSP Architectures AVSynDEx Methodology For Fast Prototyping of Multi-C6x DSP Architectures Jean-François NEZAN, Virginie FRESSE, Olivier DEFORGES, Michael RAULET CNRS UMR IETR (Institut en Electronique et Télecommunications

More information

Framework for Hierarchical and Distributed Smart Grid Management

Framework for Hierarchical and Distributed Smart Grid Management Framework for Hierarchical and Distributed Smart Grid Management Rémi Bonnefoi, Christophe Moy, Jacques Palicot To cite this version: Rémi Bonnefoi, Christophe Moy, Jacques Palicot. Framework for Hierarchical

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Accurate Measurement of Power Consumption Overhead During FPGA Dynamic Partial Reconfiguration

Accurate Measurement of Power Consumption Overhead During FPGA Dynamic Partial Reconfiguration Accurate Measurement of Power Consumption Overhead During FPGA Dynamic Partial Reconfiguration Amor Nafkha, and Yves Louet CentraleSupélec/IETR, Campus de Rennes Avenue de la Boulaie, CS 47601, 35576 Cesson

More information

SMT338-VP. User Manual

SMT338-VP. User Manual SMT338-VP User Manual Version 1.3 Page 2 of 22 SMT338-VP User Manual Revision History Date Comments Engineer Version 16/08/04 First revision JPA 1.0 17/05/05 Corrected: purpose of Led 5 and Led 6 SM 1.1

More information

A Performance Modeling and Simulation Approach to Software Defined Radio

A Performance Modeling and Simulation Approach to Software Defined Radio A Performance Modeling and Simulation Approach to Software Defined Radio OMG Software-Based Communications (SBC) Workshop San Diego, CA - August, 2005 Shawkang Wu & Long Ho Integrated Defense Systems The

More information

Embedded networks combining Power Line and Wireless communications

Embedded networks combining Power Line and Wireless communications Embedded networks combining Power Line and Wireless communications WSPL2008, Thessaloniki, 2&3/10 / 2008 Fabienne Nouvel, Patrice Maziero IETR, UMR CNRS 6164,INSA of Rennes e.mail : fabienne.nouvel@insa-rennes.fr

More information

A Flexible System Level Design Methodology Targeting Run-Time Reconfigurable FPGAs

A Flexible System Level Design Methodology Targeting Run-Time Reconfigurable FPGAs A Flexible System Level Design Methodology Targeting Run-Time Reconfigurable s Fabienne Nouvel, Florent Berthelot, Dominique Houzet To cite this version: Fabienne Nouvel, Florent Berthelot, Dominique Houzet.

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis OpenRadio A programmable wireless dataplane Manu Bansal Stanford University Joint work with Jeff Mehlman, Sachin Katti, Phil Levis HotSDN 12, August 13, 2012, Helsinki, Finland 2 Opening up the radio Why?

More information

Performance Overhead with High Level Waveform Development

Performance Overhead with High Level Waveform Development Performance Overhead with High Level Waveform Development Stefan Nagel, Michael Schwall, Friedrich K. Jondral 2010 European Reconfigurable Radio Technologies Workshop June 23-25, 2010 Mainz, Germany Communications

More information

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Ke He Supervisor: Prof Robert W Stewart Dr Louise Crockett Outline Motivation and Objective Reconfiguration Technologies

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT939 Document Issue Number 1.1 Issue Data: 1th March 2012

More information

TRABAJO DE FIN DE CARRERA

TRABAJO DE FIN DE CARRERA TRABAJO DE FIN DE CARRERA TITULO DEL TFC: Modelado y gestión de recursos de SDR Clouds TITULACION: Ingeniería Técnica de Telecomunicaciones, especialidad en Telemática AUTOR: Juan Pedro Vicente Núñez DIRECTOR:

More information

Scalable Multi-DM642-based MPEG-2 to H.264 Transcoder. Arvind Raman, Sriram Sethuraman Ittiam Systems (Pvt.) Ltd. Bangalore, India

Scalable Multi-DM642-based MPEG-2 to H.264 Transcoder. Arvind Raman, Sriram Sethuraman Ittiam Systems (Pvt.) Ltd. Bangalore, India Scalable Multi-DM642-based MPEG-2 to H.264 Transcoder Arvind Raman, Sriram Sethuraman Ittiam Systems (Pvt.) Ltd. Bangalore, India Outline of Presentation MPEG-2 to H.264 Transcoding Need for a multiprocessor

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

The CompSOC Design Flow for Virtual Execution Platforms

The CompSOC Design Flow for Virtual Execution Platforms NEST COBRA CA104 The CompSOC Design Flow for Virtual Execution Platforms FPGAWorld 10-09-2013 Sven Goossens*, Benny Akesson*, Martijn Koedam*, Ashkan Beyranvand Nejad, Andrew Nelson, Kees Goossens* * Introduction

More information

APPLICATION NOTE : INTEGRATION OF MPEG-4 VIDEO TOOLS ONTO SUNDANCE MULTI-DSP ARCHITECTURES. J.F. Nezan, M. Raulet, O. Déforges

APPLICATION NOTE : INTEGRATION OF MPEG-4 VIDEO TOOLS ONTO SUNDANCE MULTI-DSP ARCHITECTURES. J.F. Nezan, M. Raulet, O. Déforges APPLICATION NOTE : INTEGRATION OF MPEG-4 VIDEO TOOLS ONTO SUNDANCE MULTI-DSP ARCHITECTURES J.F. Nezan, M. Raulet, O. Déforges IETR (CNRS UMR 6164) INSA Rennes 20 av des Buttes de Coësmes 35043 Rennes Cedex

More information

UMTS & New Technologies «Wireless data world»

UMTS & New Technologies «Wireless data world» EPFL Section Systèmes de Communication Cours Mobile Networks UMTS & New Technologies «Wireless data world» Alexandre LEHERICEY Radio Access Engineering 21/12/2004 mailto: alexandre.lehericey@orange.ch

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Software Radio. Antoni Gelonch Mobile Communications Research Group (GRCM) Signal Theory and Communications Dept. Universitat Politècnica de Catalunya

Software Radio. Antoni Gelonch Mobile Communications Research Group (GRCM) Signal Theory and Communications Dept. Universitat Politècnica de Catalunya Software Radio Antoni Gelonch Mobile Communications Research Group (GRCM) Signal Theory and Communications Dept. Universitat Politècnica de Catalunya Supelec, 19 April 2007 Software Radio Functional Vision

More information

Cognitive Radio Platform Research at WINLAB

Cognitive Radio Platform Research at WINLAB Cognitive Radio Platform Research at WINLAB December 2, 2010 Zoran Miljanic and Ivan Seskar WINLAB Rutgers University www.winlab.rutgers.edu 1 WiNC2R objectives Programmable processing of phy and higher

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

Success Oriented Ground and Space Software Defined Architectures

Success Oriented Ground and Space Software Defined Architectures Success Oriented Ground and Space Software Defined Architectures 30 March 2004 Jerry Brand, Vince Kovarik and James Faist Melbourne, Florida Presented at the GSAW 2004 Manhattan Beach, CA GSAW 2004 (Slide

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

Wireless Networking: An Introduction. Hongwei Zhang

Wireless Networking: An Introduction. Hongwei Zhang Wireless Networking: An Introduction Hongwei Zhang http://www.cs.wayne.edu/~hzhang Outline Networking as resource allocation A taxonomy of current practice Technical elements Outline Networking as resource

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 10 Task Partitioning Sources: Prof. Margarida Jacome, UT Austin Prof. Lothar Thiele, ETH Zürich Andreas Gerstlauer Electrical and Computer Engineering University

More information

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA;

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; SOFTWARE IMPLEMENTATION OF IEEE 802.11B WIRELESS LAN STANDARD Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; suyog@hellosoft.com) ABSTRACT Software-Defined Radio (SDR) is a rapidly evolving

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

3G wireless systems: UMTS

3G wireless systems: UMTS SCHOOL ON DIGITAL AND MULTIMEDIA COMMUNICATIONS USING TERRESTRIAL AND SATELLITE RADIO LINKS TELIT MOBILE TERMINALS 20th February 2002 Ing. PhD. Alberto Cerdeira Telit Mobile Terminals S.p.A. R&D IC Hardware

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system 26th July 2005 Alberto Donato donato@elet.polimi.it Relatore: Prof. Fabrizio Ferrandi Correlatore:

More information

Design Space Exploration in an FPGA-Based Software Defined Radio

Design Space Exploration in an FPGA-Based Software Defined Radio 2014 17th Euromicro Conference on Digital System Design Design Space Exploration in an FPGA-Based Software Defined Radio Matthieu Gautier, Ganda Stephane Ouedraogo and Olivier Sentieys INRIA, University

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

RESOURCE MANAGEMENT STRATEGIES FOR SDR CLOUDS

RESOURCE MANAGEMENT STRATEGIES FOR SDR CLOUDS Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved RESOURCE MANAGEMENT STRATEGIES FOR SDR CLOUDS Vuk Marojevic, Ismael Gomez,

More information

WIRELESS ACCESS PRINCIPLES OF AND LOCALIZATION. Wiley. Kaveh Pahlavan. Prashant Krishnamurthy. University of Pittsburgh, Pittsburgh, Pennsylvania, USA

WIRELESS ACCESS PRINCIPLES OF AND LOCALIZATION. Wiley. Kaveh Pahlavan. Prashant Krishnamurthy. University of Pittsburgh, Pittsburgh, Pennsylvania, USA PRINCIPLES OF WIRELESS ACCESS AND LOCALIZATION Kaveh Pahlavan Worcester Polytechnic Institute, Worcester, Massachusetts, USA Prashant Krishnamurthy University of Pittsburgh, Pittsburgh, Pennsylvania, USA

More information

TI TMS320C6000 DSP Online Seminar

TI TMS320C6000 DSP Online Seminar TI TMS320C6000 DSP Online Seminar Agenda Introduce to C6000 DSP Family C6000 CPU Architecture Peripheral Overview Development Tools express DSP Q & A Agenda Introduce to C6000 DSP Family C6000 CPU Architecture

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS Rev. Roum. Sci. Techn. Électrotechn. et Énerg. Vol. 61, 1, pp. 53 57, Bucarest, 016 Électronique et transmission de l information EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL

More information

Third generation WCDMA radio evolution

Third generation WCDMA radio evolution WIRELESS COMMUNICATIONS AND MOBILE COMPUTING Wirel. Commun. Mob. Comput. 2003; 3:987 992 (DOI: 10.1002/wcm.134) Third generation WCDMA radio evolution Harri Holma*,y and Antti Toskala Nokia Networks, IP

More information

Silicon Architectures for Wireless Systems Part 2 Configurable Processors

Silicon Architectures for Wireless Systems Part 2 Configurable Processors Tutorial HotChips 01 Silicon Architectures for Wireless Systems Part 2 Configurable Processors Jan M. Rabaey BWRC University of California @ Berkeley http://www.eecs.berkeley.edu/~jan With contributions

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

CHAPTER 4 SIMULATION TOOL OPNET MODELER

CHAPTER 4 SIMULATION TOOL OPNET MODELER CHAPTER 4 SIMULATION TOOL OPNET MODELER Problems can be effectively solved through, by running a computer simulation program since that would be more time efficient than actually doing the calculations

More information

Simplifying FPGA Design with A Novel Network-on-Chip Architecture

Simplifying FPGA Design with A Novel Network-on-Chip Architecture Simplifying FPGA Design with A Novel Network-on-Chip Architecture ABSTRACT John Malsbury Ettus Research 1043 N Shoreline Blvd Suite 100 +1 (650) 967-2870 john.malsbury@ettus.com As wireless communications

More information

A Multi-layer Framework Supporting Autonomous Runtime Partial Reconfiguration

A Multi-layer Framework Supporting Autonomous Runtime Partial Reconfiguration TVLSI_00454_2006.R1 1 A Multi-layer Framework Supporting Autonomous Runtime Partial Reconfiguration Heng Tan and Ronald F. DeMara, Senior Member, IEEE Abstract- A Multilayer Runtime Reconfiguration Architecture

More information

Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets

Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets John Glossner, Ph.D., Founder, CTO & EVP Erdem Hokenek, Ph.D., Founder, Chief H/W Architect Mayan Moudgill, Ph.D., Founder,

More information

Outline. Embedded Systems and Rationale. Background. Multi-Dataflow Composer Tool: Features and Achievements. Summary, Coming Soon and Whish List

Outline. Embedded Systems and Rationale. Background. Multi-Dataflow Composer Tool: Features and Achievements. Summary, Coming Soon and Whish List Outline Embedded Systems and Rationale Systems Complexity Hardware-Software Co-Design Design Flow Automation Power Management Background Dataflow Model of Computation Reconfigurable Video Coding Technologies

More information

asoc: : A Scalable On-Chip Communication Architecture

asoc: : A Scalable On-Chip Communication Architecture asoc: : A Scalable On-Chip Communication Architecture Russell Tessier, Jian Liang,, Andrew Laffely,, and Wayne Burleson University of Massachusetts, Amherst Reconfigurable Computing Group Supported by

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Resource-Efficient SRAM-based Ternary Content Addressable Memory

Resource-Efficient SRAM-based Ternary Content Addressable Memory Abstract: Resource-Efficient SRAM-based Ternary Content Addressable Memory Static random access memory (SRAM)-based ternary content addressable memory (TCAM) offers TCAM functionality by emulating it with

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications White Paper Introduction Emerging wireless applications such as remote radio heads, pico/femto base stations, WiMAX customer premises equipment (CPE), and software defined radio (SDR) have stringent power

More information

A FULL-RATE SOFTWARE IMPLEMENTATION OF AN IEEE A COMPLIANT DIGITAL BASEBAND TRANSMITTER. Michael J. Meeuwsen, Omar Sattari, Bevan M.

A FULL-RATE SOFTWARE IMPLEMENTATION OF AN IEEE A COMPLIANT DIGITAL BASEBAND TRANSMITTER. Michael J. Meeuwsen, Omar Sattari, Bevan M. A FULL-RATE SOFTWARE IMPLEMENTATION OF AN IEEE 82.11A COMPLIANT DIGITAL BASEBAND TRANSMITTER Michael J. Meeuwsen, Omar Sattari, Bevan M. Baas Department of Electrical and Computer Engineering University

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Overview. IS-95A + TSB-74/J-STD-008 cdmaone. Current Network Architecture. IS-95A Performance Issues. IS-95B Enhancements

Overview. IS-95A + TSB-74/J-STD-008 cdmaone. Current Network Architecture. IS-95A Performance Issues. IS-95B Enhancements Overview IS-95A/J-STD-008 cdmaone IS-95B Enhancements Third Generation CDMA Technology Evolution cdma2000 1xRTT Overview What Lies Beyond 1xRTT? 3xRTT W-CDMA G3G Ken Geisheimer CDMA Product Management

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Introduction to reconfigurable systems

Introduction to reconfigurable systems Introduction to reconfigurable systems Reconfigurable system (RS)= any system whose sub-system configurations can be changed or modified after fabrication Reconfigurable computing (RC) is commonly used

More information

KeyStone Training. Turbo Encoder Coprocessor (TCP3E)

KeyStone Training. Turbo Encoder Coprocessor (TCP3E) KeyStone Training Turbo Encoder Coprocessor (TCP3E) Agenda Overview TCP3E Overview TCP3E = Turbo CoProcessor 3 Encoder No previous versions, but came out at same time as third version of decoder co processor

More information

QPro XQ17V16 Military 16Mbit QML Configuration PROM

QPro XQ17V16 Military 16Mbit QML Configuration PROM R 0 QPro XQ17V16 Military 16Mbit QML Configuration PROM DS111 (v1.0) December 15, 2003 0 8 Product Specification Features 16Mbit storage capacity Guaranteed operation over full military temperature range:

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

RISPP: Rotating Instruction Set Processing Platform

RISPP: Rotating Instruction Set Processing Platform RISPP: Rotating Instruction Set Processing Platform Lars Bauer, Muhammad Shafique and Jörg Henkel Chair for Embedded Systems (CES) University of Karlsruhe (TH) Development of Embedded Systems Typical:

More information

Analysis of the cdma2000. and UTRA Wireless. 3G Proposals. Final Report. Gabriel Sacripanti EE /7/99

Analysis of the cdma2000. and UTRA Wireless. 3G Proposals. Final Report. Gabriel Sacripanti EE /7/99 Analysis of the cdma2000 and UTRA Wireless 3G Proposals Final Report Gabriel Sacripanti EE6390 12/7/99 Abstract Over 10 proposals were submitted to the International Telecommunications Union (ITU) for

More information

A RECONFIGURABLE BASEBAND FOR 2.5G/3G AND BEYOND

A RECONFIGURABLE BASEBAND FOR 2.5G/3G AND BEYOND A RECONFIGURABLE BASEBAND FOR 2.5G/3G AND BEYOND John Glossner, Daniel Iancu, Erdem Hokenek, and Mayan Moudgill Sandbridge Technologies, Inc. White Plains, NY 914-287-8500 glossner@sandbridgetech.com ABSTRACT

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France

FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France IP/SOC 2005 Session: IP Design FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France Abstract: The 10 X increase

More information

Abstract of the Book

Abstract of the Book Book Keywords IEEE 802.16, IEEE 802.16m, mobile WiMAX, 4G, IMT-Advanced, 3GPP LTE, 3GPP LTE-Advanced, Broadband Wireless, Wireless Communications, Cellular Systems, Network Architecture Abstract of the

More information

The primer for everything?! Lars Dittmann Head of Communication Technology Cluster

The primer for everything?! Lars Dittmann Head of Communication Technology Cluster What is LTE? The primer for everything?! Lars Dittmann Head of Communication Technology Cluster LTE a capacity driven development! What about reliability and availability? Source: NSN DTU Fotonik, Technical

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Fast Communication Mechanisms in Coarse-grained Dynamically Reconfigurable Array Architectures

Fast Communication Mechanisms in Coarse-grained Dynamically Reconfigurable Array Architectures Fast Communication Mechanisms in Coarse-grained Dynamically Reconfigurable Array Architectures Jürgen Becker*, Manfred Glesner* *Darmstadt University of Technology Institute of Microelectronic Systems

More information

3G Wireless. from an Operator s Perspective. David T. Shimozawa Technology Development. Page 1. June 2001

3G Wireless. from an Operator s Perspective. David T. Shimozawa Technology Development. Page 1. June 2001 3G Wireless from an Operator s Perspective David T. Shimozawa Technology Development Page 1 Introduction Background CDMA Evolution Services and Market Issues Technology Issues Spectrum Issues Network Evolution

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

A Software Development and Validation Framework for SDR Platforms

A Software Development and Validation Framework for SDR Platforms A Software Development and Validation Framework for SDR Platforms Jeroen.Declerck@imec.be Outline IMEC SDR Platform Problem Statement Framework (XMSF) Implementation XMSS server Graphical logger IMEC SDR

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

A SIMULATION-BASED APPROACH FOR PERFORMANCE EVALUATION OF SDR BASEBAND ARCHITECTURES

A SIMULATION-BASED APPROACH FOR PERFORMANCE EVALUATION OF SDR BASEBAND ARCHITECTURES Proceedings of SDR'12-WInnComm-Europe, 27-29 June 2012 A SIMULATION-BASED APPROACH FOR PERFORMANCE EVALUATION OF SDR BASEBAND ARCHITECTURES Anthony Barreteau, Sébastien Le Nours, Olivier Pasquier (Lunam

More information

Introduction Disks RAID Tertiary storage. Mass Storage. CMSC 420, York College. November 21, 2006

Introduction Disks RAID Tertiary storage. Mass Storage. CMSC 420, York College. November 21, 2006 November 21, 2006 The memory hierarchy Red = Level Access time Capacity Features Registers nanoseconds 100s of bytes fixed Cache nanoseconds 1-2 MB fixed RAM nanoseconds MBs to GBs expandable Disk milliseconds

More information

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Zhining Huang, Sharad Malik Electrical Engineering Department

More information

OMG SBC. Software Radio Cooperative Research Project (SRCRP) Jimmie Marks Roy Bell. March 8, 2006

OMG SBC. Software Radio Cooperative Research Project (SRCRP) Jimmie Marks Roy Bell. March 8, 2006 Software Radio Cooperative Research Project (SRCRP) OMG SBC March 8, 2006 Jimmie Marks Roy Bell January 2005 Copyright 2005, Raytheon Company. All Rights Reserved Agenda Program Overview Architecture Overview

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

White Paper. The advantages of using a combination of DSP s and FPGA s. Version: 1.0. Author: Louis N. Bélanger. Date: May, 2004.

White Paper. The advantages of using a combination of DSP s and FPGA s. Version: 1.0. Author: Louis N. Bélanger. Date: May, 2004. White Paper The advantages of using a combination of DSP s and FPGA s Version: 1.0 Author: Louis N. Bélanger Date: May, 2004 Lyrtech Inc The advantages of using a combination of DSP s and FPGA s DSP and

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

UNIVERSITAT POLITÈCNICA DE CATALUNYA

UNIVERSITAT POLITÈCNICA DE CATALUNYA Department of Signal Theory and Communications UNIVERSITAT POLITÈCNICA DE CATALUNYA RESOURCE MANAGEMENT STRATEGIES FOR SDR CLOUDS Vuk Marojevic Ismael Gomez Gabriel Montoro Antoni Gelonch Pere Gilabert

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information