SDR SDRAM Controller Example

Size: px
Start display at page:

Download "SDR SDRAM Controller Example"

Transcription

1 SDR SDRAM Controller Example User Guide 10/2012 Capital Microelectronics, Inc. China

2 Contents Contents Introduction System Level Structure Interface at HyperTerminal Setting of HyperTerminal Usage of interface... 7 Revision History

3 1 Introduction This document describes an example that uses SDRAM IP, following is the detail of the example: Function - PC connects with 8051 through UART. User can send command to 8051 through the interface on PC; send testing start-address & end-address to FPGA User logic, so as to test writing and reading of SDRAM from start-address to end-address; - User logic write and read the memory cells of SDRAM and compare the read data with the previous write data and send the testing result back to The SDRAM IP is configured as below to meet the SDRAM-Chip: - data_width = 16; - row_addr_width = 12; - col_addr_width = 9; - bank_addr_width = 2. SDR SDRAM and User Logic all work at 133MHz 8051 core works at 95MHz UART works at baud rate Device: CME-M5, CME-M7, CME-HR3 3

4 2 System Level Structure Following is general structure of the example: PC UART 8051 CLK CKE CSn RASn User Logic SDR SDRAM Controller IP CASn WEn A SDR SDRAM Chip Clk_in PLL BA DQM DQ CME-M5(FPGA) Figure 2-1 System level structure of the example This case consists of 3 parts: PC, FPGA and SDRAM-Chip. PC Part connects with 8051 through UART, which is introduced at section 3. FPGA is the main controller, which contains 2 hard IPs(8051 and PLL), 1 soft IP(SDRAM-Controller-IP), and some user logic. The PLL has a 20MHz clock input, and generates a 95MHz clock to 8051, a 133MHz clock to FPGA user logic and SDRAM chip receives the command from PC and sends start address and end address to FPGA when FPGA is not busy. Then sends out test results to PC through UART serial port. FPGA user logic sends write/read command to SDRAM-Chip through SDRAM-Controller-IP. There is a FSM in user logic. After POR, FSM stays in IDLE state. When detects the start sample (start/end) address signal, FSM leaves IDLE state and active the busy output. After get the start address and end address from 8051, the FSM sends write request, start address and data to SDRAM-Controller-IP. The write request keeps active until write finish. Then the FSM sends read request and start address to SDRAM-Controller-IP and reads back all data. During the reading from SDRAM, user logic compares the read data with the previous written data. After read finish, FPGA sends the check result to 8051 and the FSM returns to IDLE state and de-actives the busy output. 4

5 Interface between 8051 and User logic: p3[6] --- busy, p3[7] --- error_occur, p3[4] --- load, p3[3:2] --- start sample address when being 2 b10, p2[7:0],p1[7:0],p0[7:0] bit address(start address or end address) 5

6 3 Interface at HyperTerminal Following shows the setting of HyperTerminal and usage of the interface. 3.1 Setting of HyperTerminal Steps of building a new connection of HyperTerminal with corresponding setting is shown as figures below: Figure 3-1 Build a new connection of HyperTerminal Figure 3-2 Select a port of UART (here COM1) 6

7 Figure 3-3 Configure baud-rate(19200) and bit-stream-control 3.2 Usage of interface After download or power-on, the HyperTerminal interface will display the information like Figure 3-4. Figure 3-4 HyperTerminal after download/power-on First, you can type help for the usage, this command will show the other two commands usage and format, as you see at figure 3-5; 7

8 Second, command mem <start_addr> <end_addr>, this command will test SDRAM from start address <start_addr> to end address <end_addr>, after FPGA gets the two addresses, user logic in FOGA will first write data to all the SDRAM memory cells between the two addresses, then read data back, and return a check result to 8051 and 8051 will send success or fail to the interface. Here <start_addr> or <end_addr> should be hexadecimal format starting with 0x, and with 6 hexadecimal characters after 0x. Third, command auto, it will test the default memory cells(from 0x to 0x7fffff) of sdram, this command is the same with mem 0x x7fffff. Figure 3-5 Commands with usage of the interface 8

9 Revision History Revision Date Comments Initial release 9

CME AHB2APB Bridge Design Example

CME AHB2APB Bridge Design Example CME AHB2APB Bridge Design Example User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 9 4 Pin and Design

More information

CME FIFO with AHB interface. Design Example

CME FIFO with AHB interface. Design Example CME FIFO with AHB interface Design Example User Guide 06/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 10 3.1 Example

More information

SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China

SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China SDR SDRAM Controller User Guide 10/2012 Capital Microelectronics, Inc. Beijing, China Contents Contents... 2 1 Introduction... 3 2 SDRAM Overview... 4 2.1 Pin Description... 4 2.2 Mode register... 4 2.3

More information

XSB Board SDRAM Controller

XSB Board SDRAM Controller June 27, 2005 (Version 1.3) Application Note by D. Vanden Bout Summary This application note describes how to use the XSB Board SDRAM controller in both pipelined and non-pipelined modes. SDRAM Controller

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

UART Design Example. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART Design Example. User Guide. 04/2014 Capital Microelectronics, Inc. China UART Design Example User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...3 2 System level structure...5 2.1 EMIF interface application... 5 2.1.1 Single channel

More information

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China PCI Example User Guide 02/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 PCI Target IP Core Overview... 4 2.1 PCI Target IP Core Diagram... 4 2.1.1 Overview... 4

More information

Section II. Peripheral Support

Section II. Peripheral Support Section II. Peripheral Support This section provides information about the Nios II peripherals. This section includes the following chapters: Chapter 5, SDRAM Controller with Avalon Interface Chapter 6,

More information

CoreSDR_AHB v4.3. Handbook

CoreSDR_AHB v4.3. Handbook CoreSDR_AHB v4.3 Handbook Table of Contents Introduction... 5 Core Overview... 5 Core Version... 5 Supported Device Families... 5 Key Features... 6 Device Utilization and Performance... 6 Functional Block

More information

Design and Characterization of SDRAM Controller IP Core with Built In Refined ECC Module

Design and Characterization of SDRAM Controller IP Core with Built In Refined ECC Module International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Design and Characterization of SDRAM Controller IP Core with Built In Refined ECC Module Arathy S, Nandakumar

More information

CoreDDR v4.0. Handbook

CoreDDR v4.0. Handbook CoreDDR v4.0 Handbook Actel Corporation, Mountain View, CA 94043 2008 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 50200109-2 Release: July 2009 No part

More information

Configuration Pins Ports Clocks Ram Logical cores SDRAM server 20 4 (1-bit), 1 (16-bit) 1 ~4.0K 1 Memory address allocator ~0.

Configuration Pins Ports Clocks Ram Logical cores SDRAM server 20 4 (1-bit), 1 (16-bit) 1 ~4.0K 1 Memory address allocator ~0. SDRAM Library The XMOS SDRAM library is designed for read and write access of arbitrary length 32b long word buffers at up to 62.5MHz clock rates. It uses an optimized pinout with address and data lines

More information

Quartus II Version 8.0 Handbook Volume 5: Embedded Peripherals

Quartus II Version 8.0 Handbook Volume 5: Embedded Peripherals Quartus II Version 8.0 Handbook Volume 5: Embedded Peripherals Preliminary Information 101 Innovation Drive San Jose, CA 95134 www.altera.com QII5V5-8.0 Copyright 2008 Altera Corporation. All rights reserved.

More information

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit)

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) RoHS Compliant Product Product Specification 1.0 1 IMM64M72D1SCS8AG Version: Rev. 1.0, MAY 2015 1.0 - Initial

More information

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit)

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) 512MB SDRAM ECC Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M72SDDUD8AG Version:

More information

ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor

ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor AN-113 Enhanced Memory Systems Enhanced SDRAM (ESDRAM) is the memory of choice for high performance i960hx systems. The Enhanced Memory Systems

More information

32-Bit Memory System design: Design of Memory Controller for Micron SDR SDRAM

32-Bit Memory System design: Design of Memory Controller for Micron SDR SDRAM -Bit Memory System design: Design of Memory Controller for Micron SDR SDRAM By CHIN CHUN LEK A REPORT SUBMITTED TO Universiti Tunku Abdul Rahman in partial fulfillment of the requirements for the degree

More information

VN133-D256 PDRB X DATA SHEET. Memory Module Part Number VN133-D256 BUFFALO INC. (1/7)

VN133-D256 PDRB X DATA SHEET. Memory Module Part Number VN133-D256 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VN133-D256 (1/7) 1. Description 144pin Unbuffered SO-DIMM PC133/CL=3 2. Module Specification Specification Capacity 256MByte Physical Bank(s) 2 Module Organization

More information

Microtronix Firefly II Module

Microtronix Firefly II Module Microtronix Firefly II Module USER MANUAL Revision 1.2.1 4056 Meadowbrook Dr. Unit 126 London, ON Canada N6L 1E3 www.microtronix.com This datasheet provides information regarding the Firefly II module.

More information

CorePCI Target 33/66 MHz

CorePCI Target 33/66 MHz v2.0 CorePCI Target 33/66 MHz Product Summary Intended Use High-Performance PCI Target Applications 32-Bit, 66MHz, 3.3V 32-Bit, 33MHz, 5V or 3.3V Back-End Support for Synchronous DRAM, I/O Subsystems,

More information

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7)

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VS133-S512 (1/7) 1. Description 168pin Unbuffered DIMM PC133/CL=3 2. Module Specification Specification Capacity 512MByte Physical Bank(s) 1 Module Organization 64M

More information

CorePCI Target+DMA Master 33/66MHz

CorePCI Target+DMA Master 33/66MHz Preliminary v1.0 CorePCI Target+DMA Master 33/66MHz Product Summary Intended Use High-Performance 33MHz or 66MHz PCI Target+DMA Master Applications 32-Bit, 33MHz, 3.3V or 5V fully compliant solution available

More information

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China External I2C User Guide 05/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 External I2C Overview... 4 2.1 Pin Description... 4 2.1.1 M5 I2C Interface... 4 2.1.2 M7

More information

VNR133-D128 PDRB X DATA SHEET. Memory Module Part Number VNR133-D128 BUFFALO INC. (1/7)

VNR133-D128 PDRB X DATA SHEET. Memory Module Part Number VNR133-D128 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VNR133-D128 (1/7) 1. Description 144pin Unbuffered SO-DIMM PC133/CL=3 2. Module Specification Specification Capacity 128MByte Physical Bank(s) 2 Module Organization

More information

Legacy SDRAM Controller with Avalon Interface

Legacy SDRAM Controller with Avalon Interface Legacy SDRAM Controller with Avalon Interface January 2003, Version 1.0 Data Sheet Introduction PTF Assignments SDRAM is commonly used in cost-sensitive applications requiring large amounts of memory.

More information

Nios Development Board

Nios Development Board Nios Development Board Reference Manual, Stratix Edition 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 1.2 Document Date: December 2003 Copyright Nios Development

More information

Laboratory Finite State Machines and Serial Communication

Laboratory Finite State Machines and Serial Communication Laboratory 11 11. Finite State Machines and Serial Communication 11.1. Objectives Study, design, implement and test Finite State Machines Serial Communication Familiarize the students with Xilinx ISE WebPack

More information

VDIC SYNCHRONOUS DYNAMIC RAM VD1D8G08XS66XX8T7B-Ⅱ USER MANUAL. Version :A5

VDIC SYNCHRONOUS DYNAMIC RAM VD1D8G08XS66XX8T7B-Ⅱ USER MANUAL. Version :A5 VDIC SYNCHRONOUS DYNAMIC RAM VD1D8G08XS66XX8T7B-Ⅱ USER MANUAL Version :A5 Document NO.:ORBITA/SIP- VD1D8G08XS66XX8T7B-Ⅱ -USM-01 Zhuhai Orbita Aerospace Science & Technology Co.,Ltd. Add: Orbita Tech Park,

More information

SDR SDRAM Controller White Paper

SDR SDRAM Controller White Paper SR SRAM Controller escription The Single ata Rate(SR) Synchronous ynamic Random Access Memory(SRAM) Controller provides a simplified interface to industry standard SR SRAM memory. A top level system diagram

More information

VS133-S128 PDRB X DATA SHEET. Memory Module Part Number VS133-S128 BUFFALO INC. (1/7)

VS133-S128 PDRB X DATA SHEET. Memory Module Part Number VS133-S128 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VS133-S128 (1/7) 1. Description 168pin Unbuffered DIMM PC133/CL=3 2. Module Specification Specification Capacity 128MByte Physical Bank(s) 1 Module Organization 16M

More information

Nios Development Board, Stratix Edition

Nios Development Board, Stratix Edition Nios Development Board, Stratix Edition January 2003, Version 1.0 Data Sheet Features A Stratix TM EP1S10F780C6 device 8 Mbytes of flash memory 1 Mbyte of static RAM 16 Mbytes of SDRAM On board logic for

More information

COM-8004SOFT SIGNAL DIVERSITY SPLITTER VHDL SOURCE CODE OVERVIEW

COM-8004SOFT SIGNAL DIVERSITY SPLITTER VHDL SOURCE CODE OVERVIEW COM-8004SOFT SIGNAL DIVERSITY SPLITTER VHDL SOURCE CODE OVERVIEW Overview The COM-8004 ComBlock Module comprises two pieces of software: VHDL code to run within the FPGA for all signal processing functions.

More information

512Mb NAND FLASH + 256Mb LPDDR SDRAM MCP Product

512Mb NAND FLASH + 256Mb LPDDR SDRAM MCP Product Multi-Chip Package MEMORY 512M bit(1.8v,32m x 16) 256M bit(1.8v,16m x 16) SLC NAND Flash LPDDR(Mobile DDR) SDRAM Revision No. History Draft Date Remark 00 Initial Draft Nov. 2012 1 Multi-Chip Package MEMORY

More information

Contents. Version 1.01

Contents. Version 1.01 Datasheet DB_START_3C10 Cyclone III Development Board www.devboards.de Contents Contents... 2 Revisions... 3 Package contents... 4 DB_START_3C10... 4 Introduction... 5 Installation... 6 Getting started...

More information

SDRAM CONTROLLER Specification. Author: Dinesh Annayya

SDRAM CONTROLLER Specification. Author: Dinesh Annayya SDRAM CONTROLLER Specification Author: Dinesh Annayya dinesha@opencores.org Rev. 0.3 February 14, 2012 This page has been intentionally left blank Revision History Rev. Date Author Description 0.0 01/17/2012

More information

VDIC SYNCHRONOUS DYNAMIC RAM VD1D2G32XS86XX2T7B USER MANUAL

VDIC SYNCHRONOUS DYNAMIC RAM VD1D2G32XS86XX2T7B USER MANUAL VDIC SYNCHRONOUS DYNAMIC RAM VD1D2G32XS86XX2T7B USER MANUAL Version:A5 Document NO.:ORBITA/SIP- VD1D2G32XS86XX2T7B -USM-01 Zhuhai Orbita Aerospace Science & Technology Co.,Ltd. Add: Orbita Tech Park, NO.1

More information

Nios Development Board

Nios Development Board Nios Development Board Reference Manual, Cyclone Edition 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 1.2 Document Date: July 2003 Copyright Nios Development

More information

Nios Development Board Reference Manual, Stratix II Edition Preliminary Information

Nios Development Board Reference Manual, Stratix II Edition Preliminary Information Nios Development Board Reference Manual, Stratix II Edition Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Copyright 2005 Altera Corporation. All rights

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

VDIC SYNCHRONOUS DYNAMIC RAM VD1D1G16XS66XX1T7B USER MANUAL

VDIC SYNCHRONOUS DYNAMIC RAM VD1D1G16XS66XX1T7B USER MANUAL VDIC SYNCHRONOUS DYNAMIC RAM VD1D1G16XS66XX1T7B USER MANUAL Version : A5 Document NO.:ORBITA/SIP- VD1D1G16XS66XX1T7B -USM-01 Zhuhai Orbita Aerospace Science & Technology Co.,Ltd. Add: Orbita Tech Park,

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

MICRO-1356 MULTI-PROTOCOL READER

MICRO-1356 MULTI-PROTOCOL READER MICRO-1356 MULTI-PROTOCOL READER The Micro-1356 reader is a miniature multi-protocol RFID reader suited for embedded applications, such as handheld readers or door key card readers. The Micro-1356 has

More information

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 1.0 2015 IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M64D1DVS8AG Version:

More information

Virtex-II Pro (P4/P7) Development Board User s Guide

Virtex-II Pro (P4/P7) Development Board User s Guide Virtex-II Pro (P4/P7) Development Board User s Guide Version 4.0 June 2003 PN# DS -MANUAL-2VP4/7-FG456 Table of Contents 1 ABOUT THIS KIT...1 2 THE VIRTEX-II PRO DEVELOPMENT BOARD...1 2.1 CLOCK GENERATION...3

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

SATA-IP Device Demo Instruction on AC701 Rev Apr-14

SATA-IP Device Demo Instruction on AC701 Rev Apr-14 SATA-IP Device Demo Instruction on AC701 Rev1.0 11-Apr-14 This document describes SATA-IP Device evaluation procedure on AC701 using SATA-IP Device reference design bit-file. 1 Environment For real board

More information

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire MCF5307 DRAM CONTROLLER MCF5307 DRAM CTRL 1-1 MCF5307 DRAM CONTROLLER MCF5307 MCF5307 DRAM Controller I Addr Gen Supports 2 banks of DRAM Supports External Masters Programmable Wait States & Refresh Timer

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

Design and Implementation of High Performance DDR3 SDRAM controller

Design and Implementation of High Performance DDR3 SDRAM controller Design and Implementation of High Performance DDR3 SDRAM controller Mrs. Komala M 1 Suvarna D 2 Dr K. R. Nataraj 3 Research Scholar PG Student(M.Tech) HOD, Dept. of ECE Jain University, Bangalore SJBIT,Bangalore

More information

SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14

SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14 SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14 This document describes SATA-IP Bridge evaluation procedure using bit file from Bridge reference design on AC701 board. 1 Environment For real board

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

SDRAM Interface Clocking for the NanoBoard 2

SDRAM Interface Clocking for the NanoBoard 2 SDRAM Interface Clocking for the NanoBoard 2 NB2 + DB30 Xilinx Spartan 3 DaughterBoard 1. Schematic wiring for Xilinx DCM clocks. 2. Shared Memory Port Plugin wiring. NB2 + DB31 Altera Cyclone II DaughterBoard

More information

Chapter 10: Design Options of Digital Systems

Chapter 10: Design Options of Digital Systems Chapter 10: Design Options of Digital Systems Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog

More information

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM).

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM). Page 4.1.2.5-1 4.1.2.5 - Appendix E: Specific PD s for Synchronous DRAM (SDRAM). 1.0 Introduction: This appendix describes the Presence Detects for Synchronous DRAM Modules with SPD revision level 2 (02h).

More information

SATA-IP Host Demo Instruction on SP605 Rev Jan-10

SATA-IP Host Demo Instruction on SP605 Rev Jan-10 SATA-IP Host Demo Instruction on SP605 Rev1.0 21-Jan-10 This document describes SATA-IP Host evaluation procedure using SATA-IP Host reference design bit-file. 1 Environment For real board evaluation of

More information

Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline

Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline Ganesh Mottee, P.Shalini Mtech student, Dept of ECE, SIR MVIT Bangalore, VTU university, Karnataka,

More information

8M x 64 Bit PC-100 SDRAM DIMM

8M x 64 Bit PC-100 SDRAM DIMM PC-100 SYNCHRONOUS DRAM DIMM 64814ESEM4G09TWF 168 Pin 8Mx64 (Formerly 64814ESEM4G09T) Unbuffered, 4k Refresh, 3.3V with SPD Pin Assignment General Description The module is a 8Mx64 bit, 9 chip, 168 Pin

More information

USB485 USB to RS485 Converter Card

USB485 USB to RS485 Converter Card USB485 USB to RS485 Converter Card User Manual Version 1.02 RMS Technologies 2533 N. Carson St. #4698, Carson City, NV 89706-0147 1-877-301-3609 www.rmsmotion.com sales@rmsmotion.com Thank you for purchasing

More information

Virtex-II XC2V40/XC2V1000NM Reference Board User s Guide

Virtex-II XC2V40/XC2V1000NM Reference Board User s Guide Virtex-II XC2V40/XC2V1000NM Reference Board User s Guide Version 1.2 December 2001 Revisions Rev 1.2 Corrected pin numbers in Table 16 J12 User I/O Connector 2 Table of Contents 1 OVERVIEW... 7 2 VIRTEX-II

More information

Mobile DRAM s Frequently violated parameters Application Note

Mobile DRAM s Frequently violated parameters Application Note SEC-Mobile DRAM Mobile DRAM s Frequently violated parameters Application Note Version 1.0 May 2009 Samsung Electronics Copyright c 2009 Samsung Electronics Co.,LTD. Copyright 2009 Samsung Electronics Co,

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

USB485. USB to RS485 Converter Card. User Manual for connecting with Windows Vista Version 1.01

USB485. USB to RS485 Converter Card. User Manual for connecting with Windows Vista Version 1.01 USB485 USB to RS485 Converter Card User Manual for connecting with Windows Vista Version 1.01 RMS Technologies 2533 N. Carson St. #4698, Carson City, NV 89706-0147 1-877- 301-3609 www.rmsmotion.com sales@rmsmotion.com

More information

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China UART User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...4 2 UART Overview...5 2.1 Pins and Parameters... 5 2.1.1 EMIF interface... 5 2.1.2 AHB interface... 6

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

Microtronix ViClaro IV-GX Video Host Board

Microtronix ViClaro IV-GX Video Host Board Microtronix ViClaro IV-GX Video Host Board USER MANUAL REVISION 1.0 4056 Meadowbrood Drive, Unit 126 London, ON, Canada N6L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

Memec Spartan-3 MB User s Guide

Memec Spartan-3 MB User s Guide Memec Spartan-3 MB User s Guide September 27, 2004 Version 2.0 1 Table of Contents Overview... 4 Spartan-3 MB Development Board... 4 Spartan-3 MB Development Board Block Diagram... 6 Spartan-3 Device...

More information

(SO-DIMM) 64 MB / 128 MB / 256 MB / 512 MB PC100

(SO-DIMM) 64 MB / 128 MB / 256 MB / 512 MB PC100 Product Datasheet For Synchronous Dynamic Random Access Memory Dual In-line Memory Modules (SO-DIMM) 64 MB / 128 MB / 256 MB / 512 MB PC100 Rev 1.0 Hexon Technology Pte Ltd 35, Kallang Pudding Road, #09-04,

More information

Abstract. 2. Literature Review. Keywords. 1. Introduction. Neeta S. Matti 1, Saroja V. Siddamal 2

Abstract. 2. Literature Review. Keywords. 1. Introduction. Neeta S. Matti 1, Saroja V. Siddamal 2 To Develop Prototype Model of FPGA and RF UP Converter Neeta S. Matti 1, Saroja V. Siddamal 2 Abstract Radio jamming is the transmission of radio signals that disrupt communications by decreasing the signal

More information

PM PDRB X DATA SHEET. Memory Module Part Number. PM MByte Non ECC BUFFALO INC. (1/15)

PM PDRB X DATA SHEET. Memory Module Part Number. PM MByte Non ECC BUFFALO INC. (1/15) DATA SHEET Memory Module Part Number PM100-256 256MByte Non ECC (1/15) Table of Contents 1. Description 2. Module Specification 3. Module Pinout 4. Mechanical Design 5. Block Diagram 6. Electrical Specifications

More information

PROJECT REPORT - UART

PROJECT REPORT - UART Tanvi Shama 200601196 Akshay Soni 200601148 DAIICT PROJECT REPORT - UART Digital System Architecture 2 Project Report - UART S.No Topic Page No. 1. PROJECT STATEMENT 3 2. FUNCTIONAL SPECIFICATIONS INTRODUCTION

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Architecture for Carbon Nanotube Based Memory (NRAM)

Architecture for Carbon Nanotube Based Memory (NRAM) Architecture for Carbon Nanotube Based Memory () Bill Gervasi Principal Systems Architect 18 August 2018 Agenda 2 Carbon nanotube basics Making & breaking connections Resistive measurements Write endurance,

More information

Outline. Finite State Machine. 2. Representation of FSM. 1. Overview on FSM

Outline. Finite State Machine. 2. Representation of FSM. 1. Overview on FSM Finite State Machine Outline 1. Overview 2. FSM representation 3. Timing and performance of an FSM 4. Moore machine versus Mealy machine 5. VHDL description of FSMs 6. State assignment 7. Moore output

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 12 DRAM, DMA Year 3 CS Academic year 2017/2018 1 st semester Lecturer: Radu Danescu The DRAM memory cell X- voltage on Cs; Cs ~ 25fF Write: Cs is charged or discharged

More information

SATA Storage Duplicator Instruction on KC705 Rev Sep-13

SATA Storage Duplicator Instruction on KC705 Rev Sep-13 SATA Storage Duplicator Instruction on KC705 Rev1.0 24-Sep-13 This document describes the step to run SATA Duplicator Demo for data duplication from one SATA disk to many SATA disk by using Design Gateway

More information

SATA PHY Design Manual

SATA PHY Design Manual SATA PHY Design Manual BeanDigital (v1.0) 1 July 2012 Revision History Date Version Revision 11/07/12 1.0 Initial release Page 2 1 Contents 2 Introduction... 4 3 Block Diagram... 4 4 Interface... 5 5 Parameters...

More information

ISSN Vol.05, Issue.12, December-2017, Pages:

ISSN Vol.05, Issue.12, December-2017, Pages: ISSN 2322-0929 Vol.05, Issue.12, December-2017, Pages:1174-1178 www.ijvdcs.org Design of High Speed DDR3 SDRAM Controller NETHAGANI KAMALAKAR 1, G. RAMESH 2 1 PG Scholar, Khammam Institute of Technology

More information

Product Specifications

Product Specifications Product Specificatio L66S7-GAS/GHS/GLS RE:.6 General Information 8MB 6Mx6 SDRAM PC/PC NON-ECC UNBUFFERED -PIN SODIMM Description: The L66S7 is a 6M x 6 Synchronous Dynamic RAM high deity memory module.

More information

SPART - A Special Purpose Asynchronous Receiver/Transmitter

SPART - A Special Purpose Asynchronous Receiver/Transmitter ECE 554 - Digital Engineering Laboratory Miniproject SPART - A Special Purpose Asynchronous Receiver/Transmitter VERSION S02 (Revision 1 - Changes in Red) INTRODUCTION In this miniproject, you are to implement

More information

Microtronix ViClaro II Development Board

Microtronix ViClaro II Development Board Microtronix ViClaro II Development Board User Manual 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com Document Revision History This user guide provides basic information about using the

More information

TUNA NVM-H2 NVRAM Emulation. Board. User Guide. Version

TUNA NVM-H2 NVRAM Emulation. Board. User Guide. Version TUNA NVM-H2 NVRAM Emulation Board User Guide Version 1.0 2014-11-19 Revision History Date Version Revision 11/19/2014 1.0 Initial Release Table of Contents Overview... 4 NVM-H2 Board Feature... 4 Board

More information

Lecture 08 Finite State Machine Design Using VHDL

Lecture 08 Finite State Machine Design Using VHDL Lecture 08 Finite State Machine Design Using VHDL 10/1/2006 ECE 358: Introduction to VHDL Lecture 8-1 Today Sequential digital logic system design state diagram/state graph 10/1/2006 ECE 358: Introduction

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Design and Implementation of High Speed DDR SDRAM Controller on FPGA

Design and Implementation of High Speed DDR SDRAM Controller on FPGA Design and Implementation of High Speed DDR SDRAM Controller on FPGA Veena H K M.Tech Student, Department of ECE, Sambhram Institute of Technology, Bangalore, Karnataka, India Dr. A H Masthan Ali Associate

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) DDR SDRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Core Version: 1.2.0 Document Version: 1.2.0 rev 1 Document Date: March 2003

More information

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8 DESCRIPTION The Ramaxel RML1531MH48D8F memory module family are low profile Unbuffered DIMM modules with 30.48mm height based DDR2 technology. DIMMs are available as ECC (x72) modules. The module family

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master Melissa Hunter TECD Applications This application note discusses the issues involved in designing external

More information

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design DANIEL BENGTSSON RICHARD FÅNG Chalmers University of Technology University

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China

Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China Floating Point User Guide 3/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 Floating_Point Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 5 2.3

More information

DDR4 OverClock UDIMM Module

DDR4 OverClock UDIMM Module DDR4 OverClock UDIMM Module 8GB based on 8Gbit component TFBGA with Pb-Free Revision 1.0 (May. 2016) -Initial Release 1 2006 Super Talent Tech., Corporation. SUPER*TALENT DDR4 OverClock Series is specifically

More information

COE758 Digital Systems Engineering

COE758 Digital Systems Engineering COE758 Digital Systems Engineering Project #1 Memory Hierarchy: Cache Controller Objectives To learn the functionality of a cache controller and its interaction with blockmemory (SRAM based) and SDRAM-controllers.

More information

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China AHB2APB Bridge User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB2APB Bridge Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 4

More information

ARM PrimeCell SDRAM Controller (PL170)

ARM PrimeCell SDRAM Controller (PL170) ARM PrimeCell SDRAM Controller (PL170) Technical Reference Manual Copyright 1999-2001 ARM Limited. All rights reserved. ARM DDI 0159D ARM PrimeCell SDRAM Controller (PL170) Technical Reference Manual Copyright

More information

Designing a High Performance SDRAM Controller Using ispmach Devices

Designing a High Performance SDRAM Controller Using ispmach Devices February 2002 Reference Design RD1007 Introduction Synchronous DRAMs have become the memory standard in many designs. They provide substantial advances in DRAM performance. They synchronously burst data

More information

Xilinx Platform Studio tutorial

Xilinx Platform Studio tutorial Xilinx Platform Studio tutorial Per.Anderson@cs.lth.se April 12, 2005 This tutorial intend to show you how to create an initial system configuration. From Xilinx Platform Studio(XPS) version 6.1 this has

More information