SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China

Size: px
Start display at page:

Download "SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China"

Transcription

1 SDR SDRAM Controller User Guide 10/2012 Capital Microelectronics, Inc. Beijing, China

2 Contents Contents Introduction SDRAM Overview Pin Description Mode register SDRAM commands... 5 ACTIVE... 5 READ... 6 WRITE... 6 PRECHARGE... 6 BURST TERMINATE... 6 AUTO REFRESH Timing requirements SDRAM controller Design Block Diagram Pin Description Functional Description SDRAM initialization SDRAM read&write&refresh control SDRAM bank management Interface Timing Write interface timing Read interface timing Write and read burst terminate timing Write and read burst timing of page boundary Automatic refresh interface timing Load mode register interface timing SDRAM initialization timing Performance analysis Simulating your design Generate File Directory Structure Revision History

3 1 Introduction This document mainly describes the usage of the single data rate (SDR) synchronous dynamic random access memory (SDRAM) controller IP. The IP provides a simplified interface to industry standard SDR SDRAM. The SDR SDRAM Controller is available in either Verilog HDL and optimized for the CME-M5 architecture. The SDR SDRAM Controller supports the following features: Support interface with SDRAM at up to 133MHz, single data rate Burst lengths of 1, 2, 4, 8 or full page burst Support user burst terminate. For burst length of 2, 4, 8 and full page, support any burst length that not exceed burst length, user can implement different burst length through control user_burst_end, when user want to terminate the burst operation, active the usr_burst_end for 1 cycle CAS latency of 2 or 3 clock cycles Support internal automatic refresh, the refresh period can be programmed Support external automatic refresh request, user can control the auto refresh process Supports the NOP, READ, WRITE, AUTO_REFRESH, PRECHARGE, ACTIVATE, BURST_TERMINATE and LOAD_MR commands Support for data-path widths of 4, 8, 16, 32, 64 and 72 bits Support user change mode register value through load mode register request, do not support change CAS latency through load mode register request Support user DQM control Device family support: CME-M5, CME-HR3 3

4 2 SDRAM Overview 2.1 Pin Description Table 2-1 SDRAM Interface for x16, 32MB (32-Megabit, 4x512Kx16bit) Interface Name Direction Width Description SDRAM Interface CLK Input 1 Clock input CS# Input 1 Chip select CKE Input 1 Clock enable RAS# Input 1 SDRAM row address strobe CAS# Input 1 SDRAM column address strobe WE# Input 1 SDRAM write enable A Input 11 SDRAM address BA Input 2 SDRAM bank address DQ Inout 16 SDRAM data bus DQML, DQMH Input 1 SDRAM data mask for lower and upper bytes 2.2 Mode register The Mode Register defines the specific SDRAM mode of operation, including the selection of burst length, burst type, cas latency, operation mode etc. Table 2.1 shows a mode register definition example of 32MB SDRAM. The Mode Register, programmed via the LOAD MODE REGISTER command, retains stored information until it is reprogrammed or the device loses power. Mode Register bits M[2:0] specify burst length. M3 specifies the Wrap Type of burst (sequential or interleaved), M[6:4] specify the CAS Latency, M7 and M8 are used on some SDRAMs to specify the operation mode. M9 specifies the Write Burst Mode on some SDRAMs, and M10 and M11 are reserved for future use. The Mode Register must be loaded when all banks are idle, and the controller must wait a specified length of time (tmrd) before initiating any subsequent operation. The results of violating these requirements are unpredictable. 4

5 Table 2-2 Mode register definition of 32MB SDRAM Mode Register Field Value Description M[2:0] 1, 2, 4, 8, full_page Burst length M3 Sequential, interleaved Burst type M[6:4] 2,3 CAS latency M[8:7] Standard operation Operation mode M9 Programmed burst length Write burst mode Single location access M[11:10] Reserved Reserved Different SDRAM product, the mode register definitions have a little difference. 2.3 SDRAM commands Table 2-3 SDRAM command truth table Command CS# RAS# CAS# WE# ADDR NOTES INHIBT H X X X X NOP L H H H X ACTIVE L L H H Bank/Row 2 READ L H L H Bank/Col 3 WRITE L H L L Bank/Col 3 BURST TERMINATE L H H L X PRECHARGE L L H L Code 4 Auto Refresh or L L L H X 5 Self Refresh Load Mode Register L L L L Op-code 6 NOTE: 1. CKE is HIGH for all commands shown except SELF REFRESH. 2. A0-A11 provide row address, and BA0, BA1 determine which bank is made active. 3. ADDR provide column address; A10 HIGH enables the auto precharge feature (nonpersistent), while A10 LOW disables the auto precharge feature; BA0, BA1 determine which bank is being read from or written to. 4. A10 LOW: BA0, BA1 determine the bank being precharged. A10 HIGH: All banks precharged and BA0, BA1 are Don t Care. 5. This command is AUTO REFRESH if CKE is HIGH, SELF REFRESH if CKE is LOW. 6. ADDR defines the op-code written to the mode register. ACTIVE The ACTIVE command is used to open (or activate) a row in a particular bank for a subsequent 5

6 access. The value on the BA0, BA1 inputs selects the bank, and the address provided on inputs A0-A11 selects the row. A PRECHARGE command must be issued before opening a different row in the same bank. READ The READ command is used to initiate a burst read access to an active row. The value on the BA0, BA1 inputs selects the bank, and the address provided on inputs except A10 selects the starting column location. The value on input A10 determines whether or not auto precharge is used. WRITE The WRITE command is used to initiate a burst write access to an active row. The value on the BA0, BA1 inputs selects the bank, and the address provided on inputs except A10 selects the starting column location. The value on input A10 determines whether or not auto precharge is used. PRECHARGE The PRECHARGE command is used to deactivate the open row in a particular bank or the open row in all banks. The bank(s) will be available for a subsequent row access a specified time (trp) after the PRECHARGE command is issued. Input A10 determines whether one or all banks are to be precharged, and in the case where only one bank is to be precharged, inputs BA0, BA1 select the bank. Once a bank has been precharged, it is in the idle state and must be activated prior to any READ or WRITE commands being issued to that bank. BURST TERMINATE The BURST TERMINATE command is used to truncate either fixed-length or full-page bursts. The most recently registered READ or WRITE command prior to the BURST TERMINATE command will be truncated. AUTO REFRESH AUTO REFRESH is used during normal operation of the SDRAM and is analogous to CAS#-BEFORE-RAS# (CBR) REFRESH in conventional DRAMs. This command is nonpersistent, so it must be issued each time a refresh is required. All active banks must be PRECHARGED prior to issuing an AUTO REFRESH command. The AUTO REFRESH command should not be issued until the minimum trp has been met after the PRECHARGE command. For auto refresh, the addressing is generated by the internal refresh controller. 6

7 2.4 Timing requirements Table 2-4 SDRAM timing requirements Timing requirements tac tcl tras trcd trrd trp trfc tref twr tmrd Description Access time from CLK CAS latency, from read command to read data out delay Active to precharge delay Active to read/write delay Active bank a to active bank b delay Precharge command period Auto refresh period Refresh period (typical is 64ms) Write recovery time Load mode register to active or refresh command 7

8 3 SDRAM controller Design 3.1 Block Diagram The figure below shows the block diagram of SDR SDRAM controller IP. usr_bank_addr usr_col_addr sdram_bankman sdram_refresh usr_wr_req usr_rd_req usr_lmr_req usr_req_ack usr_op_busy usr_burst_len sdram_ctrl ctrl address SDRAM usr_data_in usr_data_out usr_data_valid sdram_data data Figure 3-1 SDRAM controller IP block diagram The SDRAM controller IP includes 4 sub modules: sdram_ctrl, sdram_data, sdram_bankman, sdram_refresh. sdram_ctrl module sdram_ctrl module is the core control module, which includes the SDRAM power on initialization and main control state machine. It receives the user request, address and generates SDRAM control related signal. sdram_data module sdram_data module is the data path module. It receives user input data or SDRAM data and bases on state information from sdram_ctrl module to generate data to write to SDRAM or data and data valid signal for user. sdram_bankman module sdram_bankman module s function is to do bank management. It records the current row and bank access status information and generates indication signal for sdram_ctrl module. sdram_ctrl module bases on these signals to decide what kind of command need to be implemented. sdram_refresh module sdram_refresh module is for internal auto refresh control. This IP provides two ways for SDRAM refresh control. First is to implement refresh through internal auto refresh controller. The other way is that user controls refresh and generates the refresh request for IP. sdram_refresh module generates auto_ref_req periodically based on automatic refresh cycle. The refresh cycle can be programmed by user. 8

9 3.2 Pin Description The pin descriptions are outlined in the table below, organized according to user or SDRAM interface. Table 3-1 SDRAM controller IP pin description Interface Name Direction Width Description System Input 1 IP clock input rst_n Input 1 IP reset input, low active User usr_rd_req Input 1 User read request input Interface usr_wr_req Input 1 User write request input usr_ref_req Input 1 User refresh request input usr_lmr_req Input 1 User load mode register request input usr_burst_end Input 1 User burst terminate request input usr_col_addr Input col_addr_width User input column address Input row_addr_width User input row address usr_bank_addr Input bank_addr_width User input bank address usr_data_valid Output 1 Output to indicate output data valid usr_wr_req_ack Output 1 User write request acknowledge output usr_rd_req_ack Output 1 User read request acknowledge output usr_ref_req_ack Output 1 User refresh request acknowledge output usr_lmr_req_ack Output 1 User load mode register request acknowledge output usr_data_in Input data_width User input data for SDRAM write usr_data_valid Output 1 Output to indicate output data valid usr_data_out Output data_width User output data from SDRAM read SDRAM sdr_cke Output 1 SDRAM clock enable Interface sdr_csn Output 1 SDRAM chip select sdr_rasn Output 1 SDRAM row address strobe sdr_casn Output 1 SDRAM column address strobe sdr_wen Output 1 SDRAM write enable sdr_a Output row_addr_width SDRAM address sdr_ba Output bank_addr_width SDRAM bank address sdr_dq Inout data_width SDRAM data bus sdr_dqm Output dqm_width SDRAM data mask for lower and upper bytes SDRAM IP programmed parameter list As is shown in the table below, the SDRAM IP parameters can be separated into 6 groups: 9

10 System, Mode register setting, Bus width setting, power on initialization setting, auto refresh setting and SDRAM AC timing setting Table 3-2 SDRAM controller IP parameter description Group Name Type Value Description System sys_ int up to 133Mhz SDRAM clock frequency Mode Register Setting Bus Width Setting Initial Setting Auto Refresh Setting SDRAM AC Timing Setting burst_length string 1, 2, 4, 8, SDRAM burst length full_page burst_type string Sequential SDRAM burst type interleaved op_mode string standard SDRAM operation mode write_burst_mode string prog_length single_access User write burst mode data_width int 4, 8, 16, 32, 64, SDRAM data width 72 col_addr_width int 8,9,10,11,12,13 SDRAM column address width row_addr_width int 11,12,13,14,15 SDRAM row address width bank_addr_width int 1, 2, 4 SDRAM bank address width sdram_init_time int 0~999(us) SDRAM initialization time (us) sdram_init_arfnum int 1~16 SDRAM initialization autorefresh numbers auto_gen_refresh Int 0, 1 set 0.976us as fundamental count sdram_ref_time Int 16,32,64,128 Refresh command interval (ms) ranged tcl int 2, 3 SDRAM cas latency tmrd Int 1, 2, 3,4 LMR to active/refresh command delay trp int 1~8 Precharge command period trfc int 1~16 Auto refresh period trcd int 1~8 Active to read/write delay twr int 1~8 Write recovery time tras int 1~16 Active to precharge command 3.3 Functional Description This sector mainly describes the function of SDRAM controller IP 10

11 3.3.1 SDRAM initialization 200us trp 8*tRFC INIT_NOP INIT_PRE INIT_ARF INIT_LMR tmrd INIT_DONE Figure 3-2 SDRAM initialization state machine SDRAM read&write&refresh control The core control state machine receives user request and controls SDRAM s read&write and refresh. trfc ARF tmrd LMR wr_req rd_req arf_req IDLE wr_req&row_same &bank_open ~row_same trp PRE ~bank_open rd_req&row_same &bank_open WR_ACK trcd RD_ACK arf_req wr_req ACT rd_req arf_req WDATA RDATA usr_bt & ~usr_wr_req_ack BT usr_bt & ~usr_rd_req_ack Figure 3-3 SDRAM control state machine For SDRAM write control: After initialization, system enters into IDLE state and can accept new request. When get write request (usr_wr_req) and address, if the bank need to access is open and the row is same as last operation, 11

12 system directly enters into WR_ACK status and generates user_req_ack signal then gets into WDATA state to finish data write. If the bank need to access is not open, system need to first get into ACT state to active the row then to write. If the bank is open and the row need to access is not the same with last operation, system need to first get into PRE state to precharge current row and get to ACT to active the new row then to write. When system is in the WDATA state, if finish the burst write operation, system then enter into IDLE state, if get user burst terminate request, system enter into BT state to terminate the write burst operation For full page burst mode, when finish write burst operation or get user burst terminate request system all need to get to BT state and send out the Burst Terminate command. For SDRAM read control: When get read request, if the bank need to access is open and the row is same as last operation, system directly enters into RD_ACK status and generates user_req_ack signal then gets into RDATA state to finish data read. If the bank need to access is not open, system need to first get into ACT state to active the row then to read. If the bank is open and the row need to access is not the same with last operation, system need to first get into PRE state to precharge current row and get to ACT to active the new row then to read. When system is in the RDATA state, if finish the read burst operation, system then enter into IDLE state, if get user burst terminate request, system enter into BT state to terminate the read burst operation For full page burst read mode, when finish read burst operation or get user burst terminate request system all need to get to BT state and send out the Burst Terminate command. For SDRAM refresh control: This IP supports both internal SDRAM refresh control or external user control When internal refresh request come, if system is not busy with other command, it will get into PRE state immediately, after precharge all banks then to finish auto refresh command. If the system is busy with read or write, it will wait read&write end and then repose to the refresh request. If user controls the refresh themselves, system accept the external refresh request and follow the same procedure with internal refresh. The refresh request can not disturb the read or write burst operation. The IP can response to refresh request only when system finish the write or read burst SDRAM bank management The IP supports bank management. It records the bank s open and close information of last operation. For current operation, according to the accessed bank address can output the bank status bank_open signal. It also records the accessed row information of last operation. For current operation, if current operation access the same bank and the same row, it will output positive row_same signal, or else output negative row_same signal to the core control state machine 12

13 3.4 Interface Timing Write interface timing Burst length = 1, write timing, user interface usr_wr_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col2 col3 usr_wr_req_ack usr_data_in data2 data3 burst_len + 2 clock cycle Figure 3-4 Write timing of user interface When is high, the system can accept new request. User send write request, address and data at the same time and wait for ack. When get usr_wr_req_ack, user need to put the next data and address 1 cycle after the usr_wr_req_ack. The address, data and usr_wr_req need to keep unchanged until get the ack signal. When usr_wr_req_ack is positive, user can send another write request. For two continuous write of the same bank and row, the latency between two write operations is 2 clock cycles. Burst length = 1, write timing of SDRAM interface usr_wr_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col2 col3 usr_wr_req_ack usr_data_in data2 data3 ctrl_cs IDLE PRE ACT WR_ACK WDATA IDLE PRE ACT WR_ACK WDATA IDLE WR_ACK WDATA IDLE cmd_line PRE ACT NOP WRITE NOP PRE ACT NOP WRITE NOP NOP WRITE sdr_a addr row0 col0 addr row0 col2 col3 sdr_dq cmd_line: {cs,ras,cas,we} data2 2 clock cycle 2 clock cycle 2 clock cycle Figure 3-5 Write timing of SDRAM interface data3 13

14 As is shown in the figure above, when user get usr_wr_req_ack and put the write data on the usr_data_in bus, the data are not immediately write to SDRAM. The data are written to SDRAM 2 cycles after write acknowledge. Burst length = 4, write timing, user interface usr_wr_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col1 col2 usr_wr_req_ack usr_data_in data1 data2 data3 data1 data2 data3 data1 data2 data3 Figure 3-6 Write timing user interface of burst length=4 Support sequential write of burst length 1, 2, 4, 8. If the accessed locations are in the same bank and same row, there are 2 clock cycles latency between two sequential write commands. Burst length = full page, write timing, user interface usr_wr_req usr_bank_addr BA0 BA1 row0 row1 row1 usr_col_addr col0 col1 col2 usr_wr_req_ack usr_data_in data1 datan datan data1 datan NOTE: n is the full page burst length Read interface timing Figure 3-7 Write timing user interface of burst length=full page Burst length=1, read timing of user interface 14

15 usr_rd_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col2 col3 usr_rd_req_ack usr_data_valid usr_data_out tcl+2 tcl+2 data2 burst length + 2 clock cycle data3 Figure 3-8 Read timing of user interface When is high, the system can accept new request. User send read request and address at the same time and wait for ack. When get usr_rd_req_ack, user can put the another read request 1 cycle after the usr_rd_req_ack. The address and usr_wr_req need to keep unchanged until get the ack signal. User can get read data when usr_data_valid is active. For two continuous read of the same bank and same row, the latency between two read operations is 2 clock cycles. Burst length=1, read timing of SDRAM interface usr_wr_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col2 col3 usr_rd_req_ack usr_data_valid usr_data_out data2 data3 ctrl_cs IDLE PRE ACT RD_ACK RDATA IDLE PRE ACT RD_ACK RDATA IDLE RD_ACK RDATA IDLE cmd_line PRE ACT NOP READ NOP PRE ACT NOP READ NOP READ sdr_a addr row0 col0 addr row1 col2 col3 sdr_dq cmd_line: {cs,ras,cas,we} tcl+2 tcl+2 data2 data3 burst length + 2 clock cycle Figure 3-9 Read timing of SDRAM interface As is shown in the figure above, when usr_rd_req_ack is active, user can t get read data immediately. From usr_rd_req_ack valid, user need to wait 2 cycles+tcl to get read data. User can wait usr_data_valid to get read data. Burst length=4, read timing of user interface 15

16 usr_rd_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col2 col3 usr_rd_req_ack usr_data_valid usr_data_out data1 tcl+2 burst length + 2 clock cycle data2 data3 data1 data2 data3 tcl+2 data1 data2 data3 Figure 3-10 Read timing user interface of burst length=4 Support sequential read of burst length 1, 2, 4, 8. If the accessed locations are in the same bank and same row, there is no latency between two sequential read commands. Burst length=full page, read timing of user interface usr_rd_req usr_bank_addr BA0 BA1 row0 row1 usr_col_addr col0 col2 col3 usr_rd_req_ack usr_data_valid usr_data_out tcl+2 data1 datan data1 datan tcl+2 tcl+2 data1 data3 Figure 3-11 Read timing user interface of burst length=full page Write and read burst terminate timing After set burst length through load mode register command, user can issue read and write command of any burst length through usr_burst_end signal The following timing diagram show full page burst write and read terminate by sending out the usr_burst_end signal along with the last write data 16

17 usr_wr_req 8 clock cycle usr_bank_addr BA0 row0 usr_col_addr col0 usr_burst_end usr_wr_req_ack usr_data_in data1 data2 data3 data4 data5 data6 data7 Figure 3-12 Full page write terminate through usr_burst_end As is shown in the figure above, this is an example of full page burst write. If only needs to write 8 data, user need to send out the usr_burst_end signal along with the last write data. When get the usr_burst_end signal, the controller will automatic send out the BT(Burst Terminate) command to terminate the write burst. usr_rd_req 8 clock cycle usr_bank_addr BA0 usr_col_addr row0 col0 usr_rd_req_ack usr_burst_end usr_data_valid usr_data_out tcl+2 data1 data2 data3 data4 data5 data6 data7 Figure 3-13 Full page read terminate through usr_burst_end As is shown in the figure above, this is an example of full page burst read. If only needs to read 8 data, user needs to send out the usr_burst_end signal along with the last data read request. When get the usr_burst_end signal, the controller will automatic send out the BT(Burst Terminate) command to terminate the read burst. 17

18 3.4.4 Write and read burst timing of page boundary For SDRAM, when issue a burst operation, it will automatically wrap to column 0 and continue if the address hit the boundary. In order to protect the data that already written to column 0 and the following address, when get to the page boundary controller will automatically send out the burst terminate command to terminate this burst and de-active the usr_rd_req_ack/usr_wr_req_ack outputs, as is shown in the diagrams below. usr_wr_req usr_bank_addr BA0 row0 Send new address again row1 usr_col_addr usr_wr_req_ack col0 Get to page boundary col1 usr_data_in data1 data2 data3 data1 data2 data3 Figure 3-14 Write interface timing when get to page boundary (full_page burst) The figure above shows an example of full page burst write, when get to the page boundary, the usr_wr_req_ack become negative. If user needs to continue write, the usr_wr_req need to keep active and the new address need to provide again. The new address can be the same row or different row, depends on user requirements. In the case shown in above, when get to the boundary, user provides new row and column to issue a new write burst, after write 4 data, user issues the usr_burst_end to terminate this burst. usr_rd_req usr_bank_addr usr_col_addr BA0 row0 col0 Send new address again row1 col1 usr_rd_req_ack usr_burst_end Get to page boundary usr_data_valid usr_data_out data1 tcl+2 burst length + 2 clock cycle data2 data3 data1 data2 data3 18

19 Figure 3-15 Read interface timing when get to page boundary (full_page burst) The figure above shows an example of full page burst read, when get to the page boundary, the usr_rd_req_ack becomes negative. If user needs to continue read, the usr_rd_req need to keep active and the new address need to be provided again. The new address can be the same row or different row, depends on user requirements. In the case shown in above, when get to the boundary, user provide new row and column to issue a new read burst, after read out 4 data, user issues the usr_burst_end to terminate this burst Automatic refresh interface timing usr_ref_req usr_ref_req_ack ctrl_cs IDLE PRE REF IDLE cmd_line Precharge NOP Refresh NOP cmd_line: {cs,ras,cas,we} Figure 3-16 SDRAM refresh user interface timing User can send refresh request signal(usr_ref_req) after SDRAM initialization finished, the request signal need to continue until get acknowledge (usr_ref_req_ack). usr_ref_req usr_ref_req_ack ctrl_cs IDLE PRE REF REF REF cmd_line Precharge NOP Refresh NOP Refresh NOP Refresh NOP cmd_line: {cs,ras,cas,we} trfc trfc trfc Figure 3-17 Sequential user refresh interface timing 19

20 3.4.6 Load mode register interface timing usr_lmr_req usr_lmr_req_ack ctrl_cs IDLE PRE LMR IDLE cmd_line Precharge NOP LMR NOP Mode Register Value cmd_line: {cs,ras,cas,we} Figure 3-18 SDRAM load mode register interface timing User can send load mode register request signal(usr_lmr_req) after SDRAM initialization finished, the request signal need to continue until get ack (usr_lmr_req_ack). Please note that this load mode register can change burst length, burst type, but can not change CAS latency SDRAM initialization timing Programmed init time Programmed Refresh cycles rst_n usr_op_busy ctrl_cs INIT_NOP INIT_PRE INIT_ARF INIT_LMR INIT_DONE cmd_line Inhibit Nop Precharge Nop Refresh Nop Refresh Nop LMR Nop lmr a10 init_done cmd_line: {cs,ras,cas,we} Figure 3-19 SDRAM initialization timing SDRAM initialization sequence: Maintain stable power, stable clock, and a NOP condition for a period, this period can be set by user, the typical initialize time is 200us Issue precharge commands for all banks of the device Issue 8 or more auto-refresh commands, this refresh cycles can also be set by user Issue a mode register set command to initialize the mode register 20

21 3.5 Performance analysis Resource usage Table 3-3 SDR SDRAM Controller IP resource usage and performance on Primace4.0 Bank Row Data Col Example Device Width Width Width Width LUT4 Reg Clk Actual MT48LC2M32B MHz MT48LC4M16A MHz MT48LC4M32B MHz MT48LC8M8A MHz MT48LC8M16A MHz mt48lc8m32b MHz mt48lc16m4a MHz mt48lc16m8a MHz mt48lc16m16a MHz mt48lc16m32s MHz mt48lc32m8a MHz mt48lc32m16a MHz mt48lc64m4a MHz mt48lc64m8a MHz mt48lc128m4a MHz hyx39s256160fx MHz hyx39s256080fx MHz hyx39s256040fx MHz hyx39s256160fx MHz hyx39s256080fx MHz Summary: LUT4: 320~350, REGs: 250~350 Throughput analysis Maximum throughput is achieved with page bursts. For random operation throughput is given by: Throughput = 1/clock period (ns) data path width (bytes) burst length of access/number of clock cycles per access Write burst (length=8) through put: For example, if data path width = 32 bits (4 bytes), burst length of access = 8, trp=3, trcd=3 Clock cycles to finish write burst = 17(consider the worst case, the accessed address need to be first precharge, active then to write) (1 generate request + 3 for precharge + 3 for active + 1 for ack + 8 burst write + 1 pipe line delay) throughput = 1/10 4 8/17 = 188 Mbytes/s Read burst (length=8) through put: For example, if data path width = 32 bits (4 bytes), burst length of access = 8, trp=3, trcd=3, tcl=3 Clock cycles to finish write burst = 20(consider the worst case, the accessed address need to be first 21

22 precharge, active then to write) (1 generate request + 3 for precharge + 3 for active + 1 for ack + 8 burst write + 1 pipe line delay + 3 clock cycle for tcl) throughput = 1/10 4 8/20 = 160 Mbytes/s 22

23 4 Simulating your design When using Primace IP wizard, the SDR SDRAM Controller IP s source RTL and simulation related file will be generated. User can directly use these source codes to do simulation. Under the \ip_core\sdr_sdram_ctrl\sim directory (as is shown in Table 5-1), user can find the testbench file, basic SDRAM simulation model of Micron and Hynix. If these SDRAM simulation model can not satisfy your requirements, you can directly download the model from the Micron and Hynix s website. Besides, the Modelsim.do script for simulation is provided. 23

24 5 Generate File Directory Structure The SDR SDRAM controller IP wizard generate code includes source files(src), simulation files(sim) and example design files. The detailed design directory structure is as below Project src outputs ip_core ip_top.v (define by user) sdr_sdram_ctrl_v1 src sim doc example sdr_sdram_top.v sdr_sdram_ctrl.v sdr_sdram_bankman.v sdr_sdram_refresh.v sdr_sdram_data.v sdr_tb.v sdr_sdram.f hyx39s256080fx.v mt48lc16m16a2.v sdr_tb.do src_vp CME_sdr_sdram_ ctrl_user_guide_e N01.pdf = directory = source RTL code demo_sdram_top. zip CME_sdr_sdram_ ctrl_example_user _guide_en01.pdf = simulation related files = documentation *.vp (Protected RTL) Figure 5-1 SDRAM directory structure Table 5-1 Design Directory structure Directory src\ ip_core\ \sdr_sdram_ctrl_v1 \doc\sdr_sdram_ctrl_user_guide.doc Description Directory for project source code, including IP wizard generate code, for example: Ip_top.v, which instantiate the IP and define related parameters The directory specially for all IPs Directory for SDR SDRAM controller IP (Encrypted) User guide for SDR SDRAM controller IP 24

25 \src IP Design RTL \sim \sim\sdr_tb.v \sim\mt48lc8m16a2.v \sim\ hyx39s256800fx.v \sim\sdr_sdram.f \sim\sdr_ top.do \sim\src_vp\*.vp Design functional verification Test bench Micron SDRAM behavior model Hynix SDRAM behavior model File list of simulation related file For modelsim simulation Protected RTL for Modelsim simulation \example demo_sdram_top.zip CME_sdr_sdram_ctrl_example_ user_guide_en01.pdf example design User guide of example design 25

26 Revision History Revision Date Comments Initial release Interface/timing diagram update Performance and resource usage update 26

SDRAM CONTROLLER Specification. Author: Dinesh Annayya

SDRAM CONTROLLER Specification. Author: Dinesh Annayya SDRAM CONTROLLER Specification Author: Dinesh Annayya dinesha@opencores.org Rev. 0.3 February 14, 2012 This page has been intentionally left blank Revision History Rev. Date Author Description 0.0 01/17/2012

More information

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China AHB2APB Bridge User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB2APB Bridge Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 4

More information

IS42VS83200J / IS42VS16160J / IS42VS32800J

IS42VS83200J / IS42VS16160J / IS42VS32800J 32Mx8, 16Mx16, 8Mx32 256Mb Synchronous DRAM FEATURES Fully synchronous; all signals referenced to a positive clock edge Internal bank for hiding row access and precharge Programmable CAS latency: 2, 3

More information

Designing a High Performance SDRAM Controller Using ispmach Devices

Designing a High Performance SDRAM Controller Using ispmach Devices February 2002 Reference Design RD1007 Introduction Synchronous DRAMs have become the memory standard in many designs. They provide substantial advances in DRAM performance. They synchronously burst data

More information

IS42VM81600E / IS42VM16800E / IS42VM32400E IS45VM81600E / IS45VM16800E / IS45VM32400E

IS42VM81600E / IS42VM16800E / IS42VM32400E IS45VM81600E / IS45VM16800E / IS45VM32400E 16Mx8, 8Mx16, 4Mx32 128Mb Mobile Synchronous DRAM FEATURES Fully synchronous; all signals referenced to a positive clock edge Internal bank for hiding row access and precharge Programmable CAS latency:

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

M8M644S3V9 M16M648S3V9. 8M, 16M x 64 SODIMM

M8M644S3V9 M16M648S3V9. 8M, 16M x 64 SODIMM MM644S3V9 MM64S3V9 SDRAM Features: JEDEC Standard 144-pin, PC100, PC133 small outline, dual in-line memory Module (SODIMM) Unbuffered TSOP components. Single 3.3v +.3v power supply. Fully synchronous;

More information

Application Note Interfacing the ColdFire MCF5206e to a 1 Mbit x 16 SDRAM

Application Note Interfacing the ColdFire MCF5206e to a 1 Mbit x 16 SDRAM Semiconductor Products Sector nc. Order Number: MCF5206eAN/D Rev. 1, 6/2001 Application Note Interfacing the ColdFire MCF5206e to a 1 Mbit x 16 SDRAM Nigel Dick Motorola Ltd., East Kilbride, Scotland Due

More information

ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor

ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor AN-113 Enhanced Memory Systems Enhanced SDRAM (ESDRAM) is the memory of choice for high performance i960hx systems. The Enhanced Memory Systems

More information

Application Note AN8064

Application Note AN8064 July 2001 Application Note AN806 Introduction Synchronous DRAM (SDRAM) has become a mainstream memory of choice in embedded system memory design due to its speed, burst access and pipeline features. For

More information

Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description.

Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description. FIR Filter User Guide 6/214 Capital Microelectronics, Inc. China Contents 1 Introduction... 3 2 FIR Filter IP Overview... 4 2.1 FIR Filter Theory Introduction... 4 2.2 FIR Filter IP Diagram... 4 2.3 Pin

More information

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China AHB Slave Decoder User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB Slave Decoder Overview... 4 2.1 Pin Description... 4 2.2 Block Diagram... 5 3

More information

Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China

Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China Floating Point User Guide 3/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 Floating_Point Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 5 2.3

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

P8M644YA9, 16M648YA9. PIN ASSIGNMENT (Front View) 168-PIN DIMM. 4-8Mx16 SDRAM TSOP P8M644YA9 8-8Mx16 SDRAM TSOP P16M648YA9

P8M644YA9, 16M648YA9. PIN ASSIGNMENT (Front View) 168-PIN DIMM. 4-8Mx16 SDRAM TSOP P8M644YA9 8-8Mx16 SDRAM TSOP P16M648YA9 SDRAM MODULE P8M644YA9, 16M648YA9 8M, 16M x 64 DIMM Features: PC100 and PC133 - compatible JEDEC - Standard 168-pin, dual in-line memory module (DIMM). TSOP components. Single 3.3v +. 3v power supply.

More information

P2M648YL, P4M6416YL. PIN ASSIGNMENT (Front View) 168-PIN DIMM. 8-2Mx8 SDRAM TSOP P2M648YL-XX 16-2Mx8 SDRAM TSOP P4M6416YL-XX

P2M648YL, P4M6416YL. PIN ASSIGNMENT (Front View) 168-PIN DIMM. 8-2Mx8 SDRAM TSOP P2M648YL-XX 16-2Mx8 SDRAM TSOP P4M6416YL-XX SDRAM MODULE Features: JEDEC - Standard 168-pin (gold), dual in-line memory module (DIMM). TSOP components. Single 3.3v +.3v power supply. Nonbuffered fully synchronous; all signals measured on positive

More information

P8M648YA4,P16M6416YA4 P8M648YB4, P8M6416YB4

P8M648YA4,P16M6416YA4 P8M648YB4, P8M6416YB4 SDRAM MODULE Features: PC-100 and PC133 Compatible JEDEC Standard 168-pin, dual in-line memory Module (DIMM) TSOP components. Single 3.3v +.3v power supply. Nonbuffered fully synchronous; all signals measured

More information

CS698Y: Modern Memory Systems Lecture-16 (DRAM Timing Constraints) Biswabandan Panda

CS698Y: Modern Memory Systems Lecture-16 (DRAM Timing Constraints) Biswabandan Panda CS698Y: Modern Memory Systems Lecture-16 (DRAM Timing Constraints) Biswabandan Panda biswap@cse.iitk.ac.in https://www.cse.iitk.ac.in/users/biswap/cs698y.html Row decoder Accessing a Row Access Address

More information

JEDEC STANDARD. Double Data Rate (DDR) SDRAM Specification JESD79 JEDEC SOLID STATE TECHNOLOGY ASSOCIATION JUNE 2000

JEDEC STANDARD. Double Data Rate (DDR) SDRAM Specification JESD79 JEDEC SOLID STATE TECHNOLOGY ASSOCIATION JUNE 2000 JEDEC STANDARD Double Data Rate (DDR) SDRAM Specification JESD79 JUNE 2000 JEDEC SOLID STATE TECHNOLOGY ASSOCIATION NOTICE JEDEC standards and publications contain material that has been prepared, reviewed,

More information

IM1216SDBA(B/T) 128Mbit SDRAM 4 Bank x 2Mbit x 16

IM1216SDBA(B/T) 128Mbit SDRAM 4 Bank x 2Mbit x 16 IM1216SDBA(B/T) 128Mbit SDRAM 4 Bank x 2Mbit x 16 6 5 System Frequency (f CK ) 166 Mz 200 Mz Clock Cycle Time (t CK3 ) 6 ns 5 ns Clock Access Time (t AC3 ) CAS atency = 3 5 ns 4.5 ns Clock Access Time

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master Melissa Hunter TECD Applications This application note discusses the issues involved in designing external

More information

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China External I2C User Guide 05/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 External I2C Overview... 4 2.1 Pin Description... 4 2.1.1 M5 I2C Interface... 4 2.1.2 M7

More information

Design and Characterization of SDRAM Controller IP Core with Built In Refined ECC Module

Design and Characterization of SDRAM Controller IP Core with Built In Refined ECC Module International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Design and Characterization of SDRAM Controller IP Core with Built In Refined ECC Module Arathy S, Nandakumar

More information

WINTEC I. DESCRIPTION: III. TIMING

WINTEC I. DESCRIPTION: III. TIMING ISIONS ZONE DESCRIPTION APPVD 1/26/01 NR I. DESCRIPTION: III. TIMING is a 8Mx64 industry standard 8-pin PC-100 DIMM Manufactured with 4 8Mx 400-mil TSOPII-54 100MHz Synchronous DRAM devices Requires 3.3V+/-0.3V

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

Legacy SDRAM Controller with Avalon Interface

Legacy SDRAM Controller with Avalon Interface Legacy SDRAM Controller with Avalon Interface January 2003, Version 1.0 Data Sheet Introduction PTF Assignments SDRAM is commonly used in cost-sensitive applications requiring large amounts of memory.

More information

(SO-DIMM) 64 MB / 128 MB / 256 MB / 512 MB PC100

(SO-DIMM) 64 MB / 128 MB / 256 MB / 512 MB PC100 Product Datasheet For Synchronous Dynamic Random Access Memory Dual In-line Memory Modules (SO-DIMM) 64 MB / 128 MB / 256 MB / 512 MB PC100 Rev 1.0 Hexon Technology Pte Ltd 35, Kallang Pudding Road, #09-04,

More information

CoreSDR_AHB v4.3. Handbook

CoreSDR_AHB v4.3. Handbook CoreSDR_AHB v4.3 Handbook Table of Contents Introduction... 5 Core Overview... 5 Core Version... 5 Supported Device Families... 5 Key Features... 6 Device Utilization and Performance... 6 Functional Block

More information

8M x 64 Bit PC-100 SDRAM DIMM

8M x 64 Bit PC-100 SDRAM DIMM PC-100 SYNCHRONOUS DRAM DIMM 64814ESEM4G09TWF 168 Pin 8Mx64 (Formerly 64814ESEM4G09T) Unbuffered, 4k Refresh, 3.3V with SPD Pin Assignment General Description The module is a 8Mx64 bit, 9 chip, 168 Pin

More information

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM).

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM). Page 4.1.2.5-1 4.1.2.5 - Appendix E: Specific PD s for Synchronous DRAM (SDRAM). 1.0 Introduction: This appendix describes the Presence Detects for Synchronous DRAM Modules with SPD revision level 2 (02h).

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

M Rev: /10

M Rev: /10 www.centon.com MEMORY SPECIFICATIONS 16,777,216 words x 64Bit Synchronous Dynamic RAM Memory Module (Unbuffered DIMM) Centon's 128MB Memory Module is 16,777,216 words by 64Bit Synchronous Dynamic RAM Memory

More information

DDR & DDR2 SDRAM Controller for MachXO2 PLD Family IP Cores User s Guide (Piplelined Versions)

DDR & DDR2 SDRAM Controller for MachXO2 PLD Family IP Cores User s Guide (Piplelined Versions) DDR & DDR2 SDRAM Controller for MachXO2 PLD Family IP Cores User s Guide (Piplelined Versions) February 2012 ipug93_01.1 Table of Contents Chapter 1. Introduction... 5 Quick Facts... 5 Features... 6 Chapter

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

48SD Mb SDRAM 16-Meg X 4-Bit X 4-Banks FEATURES: DESCRIPTION: Logic Diagram (One Amplifier)

48SD Mb SDRAM 16-Meg X 4-Bit X 4-Banks FEATURES: DESCRIPTION: Logic Diagram (One Amplifier) 256 Mb SDRAM 16-Meg X 4-Bit X 4-Banks Logic Diagram (One Amplifier) FEATURES: DESCRIPTION: 256 Megabit ( 16-Meg X 4-Bit X 4-Banks) RAD-PAK radiation-hardened against natural space radiation Total Dose

More information

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit)

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) 512MB SDRAM ECC Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M72SDDUD8AG Version:

More information

SDR SDRAM Controller White Paper

SDR SDRAM Controller White Paper SR SRAM Controller escription The Single ata Rate(SR) Synchronous ynamic Random Access Memory(SRAM) Controller provides a simplified interface to industry standard SR SRAM memory. A top level system diagram

More information

SDR SDRAM Controller Example

SDR SDRAM Controller Example SDR SDRAM Controller Example User Guide 10/2012 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Interface at HyperTerminal... 6 3.1 Setting

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

APPLICATION NOTE. SH3(-DSP) Interface to SDRAM

APPLICATION NOTE. SH3(-DSP) Interface to SDRAM APPLICATION NOTE SH3(-DSP) Interface to SDRAM Introduction This application note has been written to aid designers connecting Synchronous Dynamic Random Access Memory (SDRAM) to the Bus State Controller

More information

t RP Clock Frequency (max.) MHz

t RP Clock Frequency (max.) MHz 3.3 V 32M x 64/72-Bit, 256MByte SDRAM Modules 168-pin Unbuffered DIMM Modules 168 Pin unbuffered 8 Byte Dual-In-Line SDRAM Modules for PC main memory applications using 256Mbit technology. PC100-222, PC133-333

More information

32-Bit Memory System design: Design of Memory Controller for Micron SDR SDRAM

32-Bit Memory System design: Design of Memory Controller for Micron SDR SDRAM -Bit Memory System design: Design of Memory Controller for Micron SDR SDRAM By CHIN CHUN LEK A REPORT SUBMITTED TO Universiti Tunku Abdul Rahman in partial fulfillment of the requirements for the degree

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

512Mbit Single-Data-Rate (SDR) SDRAM

512Mbit Single-Data-Rate (SDR) SDRAM Datasheet Rev.. 0 5Mbit Single-Data-Rate (SDR) SDRAM ASC6M8S-7TCN 6Mx8 (6M x 8 x Banks) ASC3M6S-7TCN 3Mx6 (8M x 6 x Banks) Datasheet Version. 5 Mbit SDRAM ASC[08/6] Revision History R Rev.. April 0 Revised

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 5: Zeshan Chishti DRAM Basics DRAM Evolution SDRAM-based Memory Systems Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science

More information

SYNTHESIZABLE SYNCHRONOUS-DRAM CONTROLLER CORE. Jeung Joon Lee 1.0 SYSTEM FEATURES 2.0 GENERAL OVERVIEW

SYNTHESIZABLE SYNCHRONOUS-DRAM CONTROLLER CORE. Jeung Joon Lee  1.0 SYSTEM FEATURES 2.0 GENERAL OVERVIEW SYNTHESIZABLE SYNCHRONOUS-DRAM CONTROLLER 1.0 SYSTEM FEATURES CORE Jeung Joon Lee www.opencores.org Core supports 100MHz operation, PC100 compliant Flexible byte, word (16bit) and long word (32bit) accessing

More information

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit)

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) RoHS Compliant Product Product Specification 1.0 1 IMM64M72D1SCS8AG Version: Rev. 1.0, MAY 2015 1.0 - Initial

More information

97SD Gb SDRAM 8-Meg X 40-Bit X 4-Banks FEATURES: DESCRIPTION: Logic Diagram (One Amplifier)

97SD Gb SDRAM 8-Meg X 40-Bit X 4-Banks FEATURES: DESCRIPTION: Logic Diagram (One Amplifier) 1.25Gb SDRAM 8-Meg X 40-Bit X 4-Banks Logic Diagram (One Amplifier) FEATURES: DESCRIPTION: 1.25 Gigabit ( 8-Meg X 40-Bit X 4-Banks) RAD-PAK radiation-hardened against natural space radiation Total Dose

More information

M464S0424FTS SDRAM SODIMM 4Mx64 SDRAM SODIMM based on 4Mx16, 4Banks, 4K Refresh, 3.3V Synchronous DRAMs with SPD DQ21 DQ22 DQ23 DQ53 DQ54 DQ55 A7 BA0

M464S0424FTS SDRAM SODIMM 4Mx64 SDRAM SODIMM based on 4Mx16, 4Banks, 4K Refresh, 3.3V Synchronous DRAMs with SPD DQ21 DQ22 DQ23 DQ53 DQ54 DQ55 A7 BA0 M464S0424FTS SDRAM SODIMM 4Mx64 SDRAM SODIMM based on 4Mx16, 4Banks, 4K Refresh, 3.3V Synchronous DRAMs with SPD GENERAL DESCRIPTION The Samsung M464S0424FTS is a 4M bit x 64 Synchronous Dynamic RAM high

More information

IM1G(08/16)D2DDB 1Gbit DDR2 SDRAM 8 BANKS X 16Mbit X 8 (08) 8 BANKS X 8Mbit X 16 (16)

IM1G(08/16)D2DDB 1Gbit DDR2 SDRAM 8 BANKS X 16Mbit X 8 (08) 8 BANKS X 8Mbit X 16 (16) IM1G(08/16)D2DDB 1Gbit DDR2 SDRAM 8 BANKS X 16Mbit X 8 (08) 8 BANKS X 8Mbit X 16 (16) Ordering Speed Code 3 25 18 DDR2-667 DDR2-800 DDR2-1066 Clock Cycle Time ( tck3 ) 5ns 5ns 5ns Clock Cycle Time ( tck4

More information

VDIC SYNCHRONOUS DYNAMIC RAM VD1D8G08XS66XX8T7B-Ⅱ USER MANUAL. Version :A5

VDIC SYNCHRONOUS DYNAMIC RAM VD1D8G08XS66XX8T7B-Ⅱ USER MANUAL. Version :A5 VDIC SYNCHRONOUS DYNAMIC RAM VD1D8G08XS66XX8T7B-Ⅱ USER MANUAL Version :A5 Document NO.:ORBITA/SIP- VD1D8G08XS66XX8T7B-Ⅱ -USM-01 Zhuhai Orbita Aerospace Science & Technology Co.,Ltd. Add: Orbita Tech Park,

More information

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8 DESCRIPTION The Ramaxel RML1531MH48D8F memory module family are low profile Unbuffered DIMM modules with 30.48mm height based DDR2 technology. DIMMs are available as ECC (x72) modules. The module family

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China UART User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...4 2 UART Overview...5 2.1 Pins and Parameters... 5 2.1.1 EMIF interface... 5 2.1.2 AHB interface... 6

More information

VDIC SYNCHRONOUS DYNAMIC RAM VD1D2G32XS86XX2T7B USER MANUAL

VDIC SYNCHRONOUS DYNAMIC RAM VD1D2G32XS86XX2T7B USER MANUAL VDIC SYNCHRONOUS DYNAMIC RAM VD1D2G32XS86XX2T7B USER MANUAL Version:A5 Document NO.:ORBITA/SIP- VD1D2G32XS86XX2T7B -USM-01 Zhuhai Orbita Aerospace Science & Technology Co.,Ltd. Add: Orbita Tech Park, NO.1

More information

2GB 4GB 8GB Module Configuration 256 x M x x x 8 (16 components)

2GB 4GB 8GB Module Configuration 256 x M x x x 8 (16 components) 2GB WD3UN602G/WL3UN602G 4GB WD3UN604G/WL3UN604G GB WD3UN60G/WL3UN60G Features: 240-pin Unbuffered Non-ECC DDR3 DIMM for DDR3-1066, 1333, 1600 and 166MTs. JEDEC standard VDDL=1.35V (1.2V-1.45V); VDD=(1.5V

More information

VDIC SYNCHRONOUS DYNAMIC RAM VD1D1G16XS66XX1T7B USER MANUAL

VDIC SYNCHRONOUS DYNAMIC RAM VD1D1G16XS66XX1T7B USER MANUAL VDIC SYNCHRONOUS DYNAMIC RAM VD1D1G16XS66XX1T7B USER MANUAL Version : A5 Document NO.:ORBITA/SIP- VD1D1G16XS66XX1T7B -USM-01 Zhuhai Orbita Aerospace Science & Technology Co.,Ltd. Add: Orbita Tech Park,

More information

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 1.0 2015 IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M64D1DVS8AG Version:

More information

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS Basics DRAM ORGANIZATION DRAM Word Line Bit Line Storage element (capacitor) In/Out Buffers Decoder Sense Amps... Bit Lines... Switching element Decoder... Word Lines... Memory Array Page 1 Basics BUS

More information

IM5116D2DAB 512Mbit DDR2 SDRAM 4 BANKS X 8Mbit X 16 (16)

IM5116D2DAB 512Mbit DDR2 SDRAM 4 BANKS X 8Mbit X 16 (16) IM5116D2DAB 512Mbit DDR2 SDRAM 4 BANKS X 8Mbit X 16 (16) Ordering Speed Code 3 25 18 DDR2-667 DDR2-800 DDR2-1066 Clock Cycle Time (tck3) 5ns 5ns 5ns Clock Cycle Time (tck4) 3.75ns 3.75ns 3.75ns Clock Cycle

More information

XSB Board SDRAM Controller

XSB Board SDRAM Controller June 27, 2005 (Version 1.3) Application Note by D. Vanden Bout Summary This application note describes how to use the XSB Board SDRAM controller in both pipelined and non-pipelined modes. SDRAM Controller

More information

SCB33S512320AE SCB33S512160AE SCB33S512800AE

SCB33S512320AE SCB33S512160AE SCB33S512800AE Jul. 2017 SCB33S512320AE SCB33S512160AE SCB33S512800AE EU RoHS Compliant Products Data Sheet Rev. E Revision History Date version Subjects(major changes since last revision) 2016/07 A Initial Release 2016/09

More information

Etron Technology, Inc. No. 6, Technology Rd. V, Hsinchu Science Park, Hsinchu, Taiwan 30078, R.O.C. TEL: (886) FAX: (886)

Etron Technology, Inc. No. 6, Technology Rd. V, Hsinchu Science Park, Hsinchu, Taiwan 30078, R.O.C. TEL: (886) FAX: (886) 32M x 16 bit Synchronous DRAM (SDRAM) Advance (Rev. 2.0, Feb. /2016) Features Fast access time from clock: 4.5/5/5.4 ns Fast clock rate: 200/166/143 MHz Fully synchronous operation Internal pipelined architecture

More information

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire MCF5307 DRAM CONTROLLER MCF5307 DRAM CTRL 1-1 MCF5307 DRAM CONTROLLER MCF5307 MCF5307 DRAM Controller I Addr Gen Supports 2 banks of DRAM Supports External Masters Programmable Wait States & Refresh Timer

More information

HYM7V72A400B F-Series Unbuffered 4Mx72 bit SDRAM MODULE based on 2Mx8 SDRAM, LVTTL, 4K-Refresh

HYM7V72A400B F-Series Unbuffered 4Mx72 bit SDRAM MODULE based on 2Mx8 SDRAM, LVTTL, 4K-Refresh Unbuffered 4Mx72 bit SDRAM MODULE based on 2Mx8 SDRAM, LVTTL, 4K-Refresh DESCRIPTION The HYM7V72A400B is high speed 3.3Volt synchronous dynamic RAM module consisting of sixteen 2Mx8 bit Synchronous DRAMs

More information

CME AHB2APB Bridge Design Example

CME AHB2APB Bridge Design Example CME AHB2APB Bridge Design Example User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 9 4 Pin and Design

More information

Xilinx Answer Xilinx MIG 7 Series DDR2 and DDR3 Performance Estimation Guide

Xilinx Answer Xilinx MIG 7 Series DDR2 and DDR3 Performance Estimation Guide Xilinx Answer 63234 Xilinx MIG 7 Series DDR2 and DDR3 Performance Estimation Guide Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is

More information

Section II. Peripheral Support

Section II. Peripheral Support Section II. Peripheral Support This section provides information about the Nios II peripherals. This section includes the following chapters: Chapter 5, SDRAM Controller with Avalon Interface Chapter 6,

More information

SD 2.0 Host Controller IP

SD 2.0 Host Controller IP SD 2.0 Host Controller IP User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 1 1 Introduction... 2 2 SD 2.0 Host Controller IP Overview... 3 2.1 Block Diagram and Description...

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester DDR/DDR Ⅱ/DDR Ⅲ and DDRⅡ controllers ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr High Speed

More information

M0360. Rev: /08

M0360. Rev: /08 www.centon.com MEMORY SPECIFICATIONS 32MX8 BASED 33,554,432words x 72Bit Synchronous Dynamic RAM Memory Module (Unbuffered DIMM) Centon's 256MB ECC UNBUFFERED Memory Module is 33,554,432 words by 72Bit

More information

Computer Systems Laboratory Sungkyunkwan University

Computer Systems Laboratory Sungkyunkwan University DRAMs Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Main Memory & Caches Use DRAMs for main memory Fixed width (e.g., 1 word) Connected by fixed-width

More information

M2U1G64DS8HB1G and M2Y1G64DS8HB1G are unbuffered 200-Pin Double Data Rate (DDR) Synchronous DRAM Unbuffered Dual In-Line

M2U1G64DS8HB1G and M2Y1G64DS8HB1G are unbuffered 200-Pin Double Data Rate (DDR) Synchronous DRAM Unbuffered Dual In-Line 184 pin Based on DDR400/333 512M bit Die B device Features 184 Dual In-Line Memory Module (DIMM) based on 110nm 512M bit die B device Performance: Speed Sort PC2700 PC3200 6K DIMM Latency 25 3 5T Unit

More information

Configuration Pins Ports Clocks Ram Logical cores SDRAM server 20 4 (1-bit), 1 (16-bit) 1 ~4.0K 1 Memory address allocator ~0.

Configuration Pins Ports Clocks Ram Logical cores SDRAM server 20 4 (1-bit), 1 (16-bit) 1 ~4.0K 1 Memory address allocator ~0. SDRAM Library The XMOS SDRAM library is designed for read and write access of arbitrary length 32b long word buffers at up to 62.5MHz clock rates. It uses an optimized pinout with address and data lines

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (ULFFT) November 3, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E-mail: info@dilloneng.com URL: www.dilloneng.com Core

More information

registers data 1 registers MEMORY ADDRESS on-chip cache off-chip cache main memory: real address space part of virtual addr. sp.

registers data 1 registers MEMORY ADDRESS on-chip cache off-chip cache main memory: real address space part of virtual addr. sp. 13 1 CMPE110 Computer Architecture, Winter 2009 Andrea Di Blas 110 Winter 2009 CMPE Cache Direct-mapped cache Reads and writes Cache associativity Cache and performance Textbook Edition: 7.1 to 7.3 Third

More information

1. GENERAL DESCRIPTION FEATURES PIN CONFIGURATION Ball Assignment: LPDDR x Ball Assignment: LPDDR x32...

1. GENERAL DESCRIPTION FEATURES PIN CONFIGURATION Ball Assignment: LPDDR x Ball Assignment: LPDDR x32... TABLE OF CONTENTS. GENERAL DESCRIPTION... 4 2. FEATURES... 4 3. PIN CONFIGURATION... 5 3. Ball Assignment: LPDDR x6...5 3.2 Ball Assignment: LPDDR x32...5 4. PIN DESCRIPTION... 6 4. Signal Descriptions...6

More information

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7)

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VS133-S512 (1/7) 1. Description 168pin Unbuffered DIMM PC133/CL=3 2. Module Specification Specification Capacity 512MByte Physical Bank(s) 1 Module Organization 64M

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Taiwan Micropaq Corporation

Taiwan Micropaq Corporation Taiwan Micropaq Corporation SPECIFICATION FOR APPROVAL TM50S116T-7G No.4 Wenhua Rd. HsinChu Industrial Park HuKou, Taiwan, R.O.C. TEL 886-3-597-9402 FAX 886-3-597-0775 http://www.tmc.com.tw TMC SDRAM TM50S116T-7G

More information

Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications

Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications Deepali Sharma # Shruti bhargava # Mahendra Vucha * # Dept. of ECE, TIT, Bhopal, India. * Research Fellow, MANIT, Bhopal

More information

AS4C4M32S. Revision History AS4C4M32S- 90 Ball TFBGA PACKAGE

AS4C4M32S. Revision History AS4C4M32S- 90 Ball TFBGA PACKAGE Revision History AS4C4M32S- 90 Ball TFBGA PACKAGE Revision Details Date Rev 1.0 Preliminary datasheet February 2013 Rev 2.0 Added 166MHz option -6 clock cycle time February 2013 Rev 3.0 Add part number

More information

PDRB X DD4333-1G DATA SHEET. Memory Module Part Number DD4333-1G

PDRB X DD4333-1G DATA SHEET. Memory Module Part Number DD4333-1G DATA SHEET Memory Module Part Number 1. Description 184pin Unbuffered DIMM PC3200/CL=3,tRCD=3,tRP=3(200MHz Double Data Rate) 2. Module Specification Specification Capacity 1GByte Physical Bank(s) 2 Module

More information

M1U51264DS8HC1G, M1U51264DS8HC3G and M1U25664DS88C3G are unbuffered 184-Pin Double Data Rate (DDR) Synchronous

M1U51264DS8HC1G, M1U51264DS8HC3G and M1U25664DS88C3G are unbuffered 184-Pin Double Data Rate (DDR) Synchronous 184 pin Based on DDR400/333 256M bit C Die device Features 184 Dual In-Line Memory Module (DIMM) based on 256M bit die C device, organized as either 32Mx8 or 16Mx16 Performance: PC3200 PC2700 Speed Sort

More information

IMM1G72D2FBD4AG (Die Revision A) 8GByte (1024M x 72 Bit)

IMM1G72D2FBD4AG (Die Revision A) 8GByte (1024M x 72 Bit) Datasheet Rev. 1.0 2013 IMM1G72D2FBD4AG (Die Revision A) 8GByte (1024M x 72 Bit) 8GB DDR2 Fully Buffered DIMM RoHS Compliant Product Datasheet Version 1.0 1 IMM1G72D2FBD4AG Version: Rev. 1.0, DEC 2013

More information

VN133-D256 PDRB X DATA SHEET. Memory Module Part Number VN133-D256 BUFFALO INC. (1/7)

VN133-D256 PDRB X DATA SHEET. Memory Module Part Number VN133-D256 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VN133-D256 (1/7) 1. Description 144pin Unbuffered SO-DIMM PC133/CL=3 2. Module Specification Specification Capacity 256MByte Physical Bank(s) 2 Module Organization

More information

VNR133-D128 PDRB X DATA SHEET. Memory Module Part Number VNR133-D128 BUFFALO INC. (1/7)

VNR133-D128 PDRB X DATA SHEET. Memory Module Part Number VNR133-D128 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VNR133-D128 (1/7) 1. Description 144pin Unbuffered SO-DIMM PC133/CL=3 2. Module Specification Specification Capacity 128MByte Physical Bank(s) 2 Module Organization

More information

4GB Unbuffered DDR3 SDRAM SODIMM

4GB Unbuffered DDR3 SDRAM SODIMM INDÚSTRIA ELETRÔNICA S/A 4GB Unbuffered DDR3 SDRAM SODIMM HB3SU004GFM8DMB33 (512M words x 64bits, 2 Rank) Documento No. HBS- HB3SU004GFM8DMB33-1-E-10020. Publicação: Setembro de 2010 EK DATA SHEET 4GB

More information

MEM256M72D2MVS-25A1 2 Gigabyte (256M x 72 Bit)

MEM256M72D2MVS-25A1 2 Gigabyte (256M x 72 Bit) Datasheet Rev. 1.1 2011 MEM256M72D2MVS-25A1 2 Gigabyte (256M x 72 Bit) Very-Low-Profile DDR2 SDRAM Registered Mini-DIMM memory module RoHS Compliant Product Memphis Electronic AG Datasheet Version 1.1

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

DDR2 SODIMM Module. 256MB based on 256Mbit component 256MB, 512MB and 1GB based on 512Mbit component 1GB and 2GB based on 1Gbit component

DDR2 SODIMM Module. 256MB based on 256Mbit component 256MB, 512MB and 1GB based on 512Mbit component 1GB and 2GB based on 1Gbit component DDR2 SODIMM Module 256MB based on 256Mbit component 256MB, 512MB and 1GB based on 512Mbit component 1GB and 2GB based on 1Gbit component 60 Balls & 84 Balls FBGA with Pb-Free Revision 1.0 (Mar. 2006) -Initial

More information

Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014

Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 UG031, Nov 18, 2014 1 Copyright Info Copyright 2014 Achronix Semiconductor Corporation. All rights reserved. Achronix is a trademark and Speedster

More information

PM PDRB X DATA SHEET. Memory Module Part Number. PM MByte Non ECC BUFFALO INC. (1/15)

PM PDRB X DATA SHEET. Memory Module Part Number. PM MByte Non ECC BUFFALO INC. (1/15) DATA SHEET Memory Module Part Number PM100-256 256MByte Non ECC (1/15) Table of Contents 1. Description 2. Module Specification 3. Module Pinout 4. Mechanical Design 5. Block Diagram 6. Electrical Specifications

More information

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh Application Note: Virtex-4 Family XAPP702 (v1.8) April 23, 2007 DD2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh Summary DD2 SDAM devices offer new features that surpass the DD SDAM specifications

More information

Address Summary Table: 1GB 2GB 4GB Module Configuration 128M x M x M x 64

Address Summary Table: 1GB 2GB 4GB Module Configuration 128M x M x M x 64 1GB WD3UN01G / WL3UN01G 2GB WD3UN02G / WL3UN02G 4GB WD3UN04G / WL3UN04G Features: 240-pin Unbuffered Non-ECC for DDR3-1066, DDR3-1333, DDR3-1600 and DDR3-166 JEDEC standard V DDL =1.35V (1.2V-1.45V); VDD=1.5V

More information

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: www.micron.com 256MB, 512MB (x64, SR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin, unbuffered

More information

SDRAM Support on the StarCore - Based MSC8122 DSP

SDRAM Support on the StarCore - Based MSC8122 DSP Freescale Semiconductor Application Note AN2993 Rev. 0, 7/2005 SDRAM Support on the StarCore - Based MSC8122 DSP By Iantha Scheiwe The limitations of an SDRAM machine are not on the total memory it can

More information