Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China

Size: px
Start display at page:

Download "Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China"

Transcription

1 Floating Point User Guide 3/2014 Capital Microelectronics, Inc. China

2 Contents Contents Introduction Floating_Point Overview Pin Description Parameter Description Block Diagram Floationg_Point IP Usage Floating_Point operation timing diagram Floating_Point functional description Resource usage and performance analysis Generate File Directory Structure Revision History

3 1 Introduction This document mainly describes the usage of the Floating_Point IP. It is of 6 kinds of functions and they can be customized. The Floating_Point IP supports the following features: Compliance with IEEE-754 standard Support single precision Supported operators: addition/subtraction multiply divide comparison conversion from floating-point to fixed-point conversion from fixed-point to floating-point Fully synchronous design with a single clock Pure combinational logic or fixed output latency can be customized Device family support: CME-M7,CME-M5 3

4 2 Floating_Point Overview 2.1 Pin Description Table 2-1 Floating_Point interface Interface Name Direction Width Description System clk Input 1 Clock rst_n Input 1 Reset, low active din_a Input 32 or Input data a WIDTH_INT_INPUT din_b Input 32 or Input data b WIDTH_DATA_INPUT (float_to_fixed conversion minus no this port ) WIDTH_INT_INPUT add_sub Input 1 Specifies the operation is add or subtract, only present when add /sub operation is programmable mode 0:add 1:subtract User interface din_en Input 1 Data input enable dout Output 32 or WIDTH_DATA _OUTPUT Output data, no this port in compare operation and the value is 32 except float_to_fixed conversion overflow Output 1 Set high when the output is too large to represent underflow Output 1 Set high when the output is too small to represent division_by _zero operation_ invalid Output 1 Set high to indicate divide-byzero during divide operation and only present on divide operation Output 1 Set high when the inputs cause an invalid operation 4

5 dout_rdy Output 1 Set high when to indicate the result is valid dout_ compare Output 4 Data output of compare, only present on compare operation din_a == din_b din_a < din_b din_a <= din_b din_a > din_b din_a >= din_b 1xxx --- nan 2.2 Parameter Description Table 2-2 Floating_Point parameter description Name Type Value Description OP_TYPE Integer 001~110 Specifies the kinds of operation Add/Sub operation Multiply Division Compare Fixed_to_float conversion Float_to_fixed conversion ADD_SUB_TYPE Integer 00/01/10 Specifies add or subtract or both Add/Sub operation controlled by add_sub port Add Sub COMPARE_OP_TYPE Integer 001~111 Specifies the compare operation type equal less less equal lager lager equal not equal dynamically controlled by operand a and b WIDTH_INT_INPUT Integer 1~32 Specifies the integer width of input 5

6 data in fixed_to_float conversion WIDTH_DATA_INPUT Integer 2~64 Specifies the total width of input data in fixed_to_float conversion WIDTH_INT_OUTPUT Integer 1~32 Specifies the integer width of output data in float_to_fixed conversion WIDTH_DATA_OUTPUT Integer 1~64 Specifies the total width of output data in float_to_fixed conversion Add/sub:0~10 Multiply:0~6 LATENCY Integer Divide:0,1,2,6,14,31 Compare:0~3 Specifies the output data latency Float_to_fixed:0~6 Fixed_to_float:0~6 2.3 Block Diagram Floating_Point Special value logic Operand A Dout Operand B Sign logic Exponent logic Pipeline logic ALU (Add/Sub Multiply Divide Compare Fixed_to_float Float_to_fixed) Dout_compare Mantissa logic Figure 2-1 Floating Point IP block diagram Operand A and B are the input data of the floating point IP. The special value logic is used to handle the special values(as Table3-1). The input data will be separated into three parts: sign, exponent and mantissa and every part has related logic. The pipeline logic will generate the pipeline stage according to the parameter LATENCY. Then the data input ALU part and finish the operation according the parameter OP_TYPE. There are 6 kinds of operation: add/sub, multiply, divide, compare, float_to_fixed conversion and fixed_to_float conversion. Dout_compare is the compare operation result and dout is the result of the other 5 kinds of operation. 6

7 3 Floationg_Point IP Usage 3.1 Floating_Point operation timing diagram clock din_a data_a1 data_a2 din_b data_b1 data_b2 add_sub din_en dout_rdy dout dout_1 dout_2 overflow underflow Figure 3-1 add_sub operation(latency = 2) TimeGen clock din_a data_a1 data_a2 data_a3 din_b data_b1 data_b2 data_b3 din_en dout_rdy dout dout_1 dout_2 dout_3 operation_invalid division_by_zero Figure 3-2 divide operation(latency = 0) TimeGen 7

8 clock din_a data_a1 data_a2 data_a3 din_b data_b1 data_b2 data_b3 din_en dout_rdy dout_compare dout_1 dout_2 dout_3 Figure 3-3 compare operation(latency = 0) TimeGen clock din_a data_a1 data_a2 din_b data_b1 data_b2 din_en dout_rdy dout dout_1 dout_2 TimeGen Figure 3-4 fixed_to_float operation(latency = 1) 3.2 Floating_Point functional description The IEEE-754 supports four special inputs. They are summarized in Table 3-1. Table 3-1 Floating_Point special values Meaning Sign Field Exponent Field Mantissa Field +0 or -0 Sign of 0 All 0's All 0's +infinity or - infinity Sign of infinity All 1's All 0's NaN(Not-a-Number) Don't care All 1's Non-zero + Denormalized Sign of the denormalized or -Denormalized number All 0's Non-zero Operation_invalid pin indicates that the operation performed is invalid, All the invalid cases as Table

9 Table 3-2 Floating_Point invalid cases Operation Invalid cases dout Add/Sub Any of the inputs is NaN 32'h infinity +(-infinity) -infinity +(+infinity) +infinity -(+infinity) -infinity -(-infinity) Multiply Any of the inputs is NaN 0 infinity 32'h Any of the inputs is NaN Divide 0 / 0 infinity / infinity 32'h

10 4 Resource usage and performance analysis Resource usage and performance of the Floating_Point IP on Primace Table 4-1 Floating_Point IP resource usage and performance Resource LUT4 Regs MAC Performance Add(latency = 0) Sub(latency = 0) Add/Sub(latency = 10) MHz Multiply(latency = 0) Multiply(latency = 6) MHz Divide(latency = 0) Divide (latency = 31) MHz Compare (==, latency = 0) Compare (==, latency = 3) MHz Float_to_fixed (WIDTH_DATA_OUTPUT = 64, WIDTH_INT_OUTPUT= 32, latency = 0) Float_to_fixed (WIDTH_DATA_OUTPUT = 64, WIDTH_INT_OUTPUT= 32, MHz latency = 6) Fixed_to_float (WIDTH_DATA_INPUT = 64, WIDTH_INT_INPUT= 32, latency = 0) Fixed_to_float (WIDTH_DATA_INPUT = 64, WIDTH_INT_INPUT= 32, latency = 6) MHz 10

11 5 Generate File Directory Structure The Floating Point IP Wizard generated file includes: source files (src), simulation files(sim) and example design files and related document. The detailed design directory structure is as below. Project src outputs ip_core ip_top.v (define by user) floating_point_v1 sim src doc example alligner.v FPU.v mult_m5.v matlab src_vp *.vp (Protected RTL) tb_m5 CME_floating_poi nt_user_guide_en 01.pdf FPU_demo_m5 FPU_demo_m7 CME_floating_point_ example_user_guide_ EN01.pdf mult_m7.v CONFIG floating_point_tb.do floating_point_tb.v floating_point_tb_ modelsim.f js_sim.v = directory = source RTL code = simulation related files = documentation pipeline.v tb_m7 CONFIG floating_point_tb.do floating_point_tb.v floating_point_tb_ modelsim.f m7s_sim pipeline.v Figure 5-1 IP wizard generated file directory structure 11

12 Table 5-1 Generated File Directory structure Directory Description src\ Directory for project source code, including IP wizard generate code. ip_core\ The directory specially for all IPs \floating_point_v1 Directory for floating_point IP \doc\cme_floating_point_user_guide_en01.doc User guide for floating_point IP \src \src\fpu.v \src\alligner.v \src\mult_m5.v \src\mult_m7.v IP Design RTL The src of floating_point IP (Encrypted) The control module of floating_point IP (Encrypted) The mult module for m5 of floating_point IP (Encrypted) The mult module for m7 of floating_point IP (Encrypted)) \sim tb_m5 \floating_point_tb.v tb_m5 \floating_point_tb_modelsim.f tb_m5 \floating_point_tb.do tb_m5 \js_sim.v tb_m5 \ pipeline.v tb_m5 \CONFIG Testbench of floating_point IP for m5 Modelsim simulation related files Do script for Modelsim simulation Other RTL design files and configuration files for simulation on M5 tb_m7 \floating_point_tb.v Testbench of floating_point IP for m7 tb_m7 \floating_point_tb_modelsim.f Modelsim simulation related files tb_m7 \floating_point_tb.do Do script for Modelsim simulation tb_m7 \m7s_sim.v Other RTL design files and configuration tb_m7 \ pipeline.v files for simulation on M7 tb_m7 \CONFIG \src_vp Protected design RTL for Modelsim simulation \*.vp Encrypted floating_point IP related design RTL \matlab \*.txt Files stores the input data and expected results \example FPU_demo_m5.zip FPU_demo_m7.zip Floating_point IP example on M5 device Floating_point IP example on M7 device 12

13 CME_floating_point_example_user_guide _EN01.pdf The guide of floating_point IP example on M5 and M7 device 13

14 Revision History Revision Date Comments Initial release 14

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China AHB2APB Bridge User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB2APB Bridge Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 4

More information

Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description.

Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description. FIR Filter User Guide 6/214 Capital Microelectronics, Inc. China Contents 1 Introduction... 3 2 FIR Filter IP Overview... 4 2.1 FIR Filter Theory Introduction... 4 2.2 FIR Filter IP Diagram... 4 2.3 Pin

More information

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China AHB Slave Decoder User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB Slave Decoder Overview... 4 2.1 Pin Description... 4 2.2 Block Diagram... 5 3

More information

SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China

SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China SDR SDRAM Controller User Guide 10/2012 Capital Microelectronics, Inc. Beijing, China Contents Contents... 2 1 Introduction... 3 2 SDRAM Overview... 4 2.1 Pin Description... 4 2.2 Mode register... 4 2.3

More information

FLOATING POINT NUMBERS

FLOATING POINT NUMBERS Exponential Notation FLOATING POINT NUMBERS Englander Ch. 5 The following are equivalent representations of 1,234 123,400.0 x 10-2 12,340.0 x 10-1 1,234.0 x 10 0 123.4 x 10 1 12.34 x 10 2 1.234 x 10 3

More information

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China External I2C User Guide 05/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 External I2C Overview... 4 2.1 Pin Description... 4 2.1.1 M5 I2C Interface... 4 2.1.2 M7

More information

CME AHB2APB Bridge Design Example

CME AHB2APB Bridge Design Example CME AHB2APB Bridge Design Example User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 9 4 Pin and Design

More information

Floating-Point Megafunctions User Guide

Floating-Point Megafunctions User Guide Floating-Point Megafunctions User Guide Floating-Point Megafunctions User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China UART User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...4 2 UART Overview...5 2.1 Pins and Parameters... 5 2.1.1 EMIF interface... 5 2.1.2 AHB interface... 6

More information

Open Floating Point Unit

Open Floating Point Unit Open Floating Point Unit The Free IP Cores Projects www.opencores.org Author: Rudolf Usselmann rudi@asics.ws www.asics.ws Summary: This documents describes a free single precision floating point unit.

More information

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China PCI Example User Guide 02/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 PCI Target IP Core Overview... 4 2.1 PCI Target IP Core Diagram... 4 2.1.1 Overview... 4

More information

C NUMERIC FORMATS. Overview. IEEE Single-Precision Floating-point Data Format. Figure C-0. Table C-0. Listing C-0.

C NUMERIC FORMATS. Overview. IEEE Single-Precision Floating-point Data Format. Figure C-0. Table C-0. Listing C-0. C NUMERIC FORMATS Figure C-. Table C-. Listing C-. Overview The DSP supports the 32-bit single-precision floating-point data format defined in the IEEE Standard 754/854. In addition, the DSP supports an

More information

Quixilica Floating Point FPGA Cores

Quixilica Floating Point FPGA Cores Data sheet Quixilica Floating Point FPGA Cores Floating Point Adder - 169 MFLOPS* on VirtexE-8 Floating Point Multiplier - 152 MFLOPS* on VirtexE-8 Floating Point Divider - 189 MFLOPS* on VirtexE-8 Floating

More information

SD 2.0 Host Controller IP

SD 2.0 Host Controller IP SD 2.0 Host Controller IP User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 1 1 Introduction... 2 2 SD 2.0 Host Controller IP Overview... 3 2.1 Block Diagram and Description...

More information

UART Design Example. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART Design Example. User Guide. 04/2014 Capital Microelectronics, Inc. China UART Design Example User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...3 2 System level structure...5 2.1 EMIF interface application... 5 2.1.1 Single channel

More information

The Sign consists of a single bit. If this bit is '1', then the number is negative. If this bit is '0', then the number is positive.

The Sign consists of a single bit. If this bit is '1', then the number is negative. If this bit is '0', then the number is positive. IEEE 754 Standard - Overview Frozen Content Modified by on 13-Sep-2017 Before discussing the actual WB_FPU - Wishbone Floating Point Unit peripheral in detail, it is worth spending some time to look at

More information

Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide

Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Quartus II Software Version: 8.0 Document Version: 3.0 Document Date: June 2008 Copyright

More information

Implementation of IEEE754 Floating Point Multiplier

Implementation of IEEE754 Floating Point Multiplier Implementation of IEEE754 Floating Point Multiplier A Kumutha 1 Shobha. P 2 1 MVJ College of Engineering, Near ITPB, Channasandra, Bangalore-67. 2 MVJ College of Engineering, Near ITPB, Channasandra, Bangalore-67.

More information

LogiCORE IP Floating-Point Operator v6.2

LogiCORE IP Floating-Point Operator v6.2 LogiCORE IP Floating-Point Operator v6.2 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Unsupported Features..............................................................

More information

AN 464: DFT/IDFT Reference Design

AN 464: DFT/IDFT Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents About the DFT/IDFT Reference Design... 3 Functional Description for the DFT/IDFT Reference Design... 4 Parameters for the

More information

Floating Point Inverse (ALTFP_INV) Megafunction User Guide

Floating Point Inverse (ALTFP_INV) Megafunction User Guide Floating Point Inverse (ALTFP_INV) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 1.0 Document Date: October 2008 Copyright 2008 Altera Corporation. All

More information

MicroLogix 1200 Programmable Controllers

MicroLogix 1200 Programmable Controllers Document Update MicroLogix 1200 Programmable Controllers (Catalog Numbers 1762-L24AWA, -L24BWA, - L24BXB, -L40AWA, -L40BWA and -L40BXB; Series C) Purpose of This Document This Document Update revises the

More information

Operations On Data CHAPTER 4. (Solutions to Odd-Numbered Problems) Review Questions

Operations On Data CHAPTER 4. (Solutions to Odd-Numbered Problems) Review Questions CHAPTER 4 Operations On Data (Solutions to Odd-Numbered Problems) Review Questions 1. Arithmetic operations interpret bit patterns as numbers. Logical operations interpret each bit as a logical values

More information

An FPGA Implementation of the Powering Function with Single Precision Floating-Point Arithmetic

An FPGA Implementation of the Powering Function with Single Precision Floating-Point Arithmetic An FPGA Implementation of the Powering Function with Single Precision Floating-Point Arithmetic Pedro Echeverría, Marisa López-Vallejo Department of Electronic Engineering, Universidad Politécnica de Madrid

More information

COMP2611: Computer Organization. Data Representation

COMP2611: Computer Organization. Data Representation COMP2611: Computer Organization Comp2611 Fall 2015 2 1. Binary numbers and 2 s Complement Numbers 3 Bits: are the basis for binary number representation in digital computers What you will learn here: How

More information

CME FIFO with AHB interface. Design Example

CME FIFO with AHB interface. Design Example CME FIFO with AHB interface Design Example User Guide 06/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 10 3.1 Example

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

Architecture and Design of Generic IEEE-754 Based Floating Point Adder, Subtractor and Multiplier

Architecture and Design of Generic IEEE-754 Based Floating Point Adder, Subtractor and Multiplier Architecture and Design of Generic IEEE-754 Based Floating Point Adder, Subtractor and Multiplier Sahdev D. Kanjariya VLSI & Embedded Systems Design Gujarat Technological University PG School Ahmedabad,

More information

CO212 Lecture 10: Arithmetic & Logical Unit

CO212 Lecture 10: Arithmetic & Logical Unit CO212 Lecture 10: Arithmetic & Logical Unit Shobhanjana Kalita, Dept. of CSE, Tezpur University Slides courtesy: Computer Architecture and Organization, 9 th Ed, W. Stallings Integer Representation For

More information

Signed Multiplication Multiply the positives Negate result if signs of operand are different

Signed Multiplication Multiply the positives Negate result if signs of operand are different Another Improvement Save on space: Put multiplier in product saves on speed: only single shift needed Figure: Improved hardware for multiplication Signed Multiplication Multiply the positives Negate result

More information

Floating-point Arithmetic. where you sum up the integer to the left of the decimal point and the fraction to the right.

Floating-point Arithmetic. where you sum up the integer to the left of the decimal point and the fraction to the right. Floating-point Arithmetic Reading: pp. 312-328 Floating-Point Representation Non-scientific floating point numbers: A non-integer can be represented as: 2 4 2 3 2 2 2 1 2 0.2-1 2-2 2-3 2-4 where you sum

More information

Design and Optimized Implementation of Six-Operand Single- Precision Floating-Point Addition

Design and Optimized Implementation of Six-Operand Single- Precision Floating-Point Addition 2011 International Conference on Advancements in Information Technology With workshop of ICBMG 2011 IPCSIT vol.20 (2011) (2011) IACSIT Press, Singapore Design and Optimized Implementation of Six-Operand

More information

Chapter 2 Data Representations

Chapter 2 Data Representations Computer Engineering Chapter 2 Data Representations Hiroaki Kobayashi 4/21/2008 4/21/2008 1 Agenda in Chapter 2 Translation between binary numbers and decimal numbers Data Representations for Integers

More information

Floating-Point Data Representation and Manipulation 198:231 Introduction to Computer Organization Lecture 3

Floating-Point Data Representation and Manipulation 198:231 Introduction to Computer Organization Lecture 3 Floating-Point Data Representation and Manipulation 198:231 Introduction to Computer Organization Instructor: Nicole Hynes nicole.hynes@rutgers.edu 1 Fixed Point Numbers Fixed point number: integer part

More information

A Level Computing. Contents. For the Exam:

A Level Computing. Contents. For the Exam: A Level Computing Contents For the Exam:... 1 Revision of Binary... 2 Computing Mathematics Revision... 2 Binary Addition/Subtraction revision... 3 BCD... 3 Sign and Magnitude... 4 2 s Compliment... 4

More information

The ALU consists of combinational logic. Processes all data in the CPU. ALL von Neuman machines have an ALU loop.

The ALU consists of combinational logic. Processes all data in the CPU. ALL von Neuman machines have an ALU loop. CS 320 Ch 10 Computer Arithmetic The ALU consists of combinational logic. Processes all data in the CPU. ALL von Neuman machines have an ALU loop. Signed integers are typically represented in sign-magnitude

More information

Data Representations & Arithmetic Operations

Data Representations & Arithmetic Operations Data Representations & Arithmetic Operations Hiroaki Kobayashi 7/13/2011 7/13/2011 Computer Science 1 Agenda Translation between binary numbers and decimal numbers Data Representations for Integers Negative

More information

Assignment 1. ECSE-487 Computer archecture Lab. Due date: September 21, 2007, Trottier Assignment Box by 14:30

Assignment 1. ECSE-487 Computer archecture Lab. Due date: September 21, 2007, Trottier Assignment Box by 14:30 Assignment 1 ECSE-487 Computer archecture Lab Due date: September 21, 2007, Trottier Assignment Box by 14:30 1 Introduction The purpose of this assignment is to re-familiarize the student with VHDL and

More information

Floating Point Arithmetic

Floating Point Arithmetic Floating Point Arithmetic Clark N. Taylor Department of Electrical and Computer Engineering Brigham Young University clark.taylor@byu.edu 1 Introduction Numerical operations are something at which digital

More information

Adding Binary Integers. Part 5. Adding Base 10 Numbers. Adding 2's Complement. Adding Binary Example = 10. Arithmetic Logic Unit

Adding Binary Integers. Part 5. Adding Base 10 Numbers. Adding 2's Complement. Adding Binary Example = 10. Arithmetic Logic Unit Part 5 Adding Binary Integers Arithmetic Logic Unit = Adding Binary Integers Adding Base Numbers Computer's add binary numbers the same way that we do with decimal Columns are aligned, added, and "'s"

More information

FPLibrary v0.94 User documentation. LIP ÉNS Lyon 46, allée d Italie Lyon cedex 07 France

FPLibrary v0.94 User documentation. LIP ÉNS Lyon 46, allée d Italie Lyon cedex 07 France FPLibrary v0.94 User documentation Jérémie Detrey Florent de Dinechin LIP ÉNS Lyon 46, allée d Italie 69364 Lyon cedex 07 France {Jeremie.Detrey,Florent.de.Dinechin}@ens-lyon.fr Contents 1 Introduction

More information

Floating Point Numbers

Floating Point Numbers Floating Point Numbers Summer 8 Fractional numbers Fractional numbers fixed point Floating point numbers the IEEE 7 floating point standard Floating point operations Rounding modes CMPE Summer 8 Slides

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 4-C Floating-Point Arithmetic - III Israel Koren ECE666/Koren Part.4c.1 Floating-Point Adders

More information

Divide: Paper & Pencil

Divide: Paper & Pencil Divide: Paper & Pencil 1001 Quotient Divisor 1000 1001010 Dividend -1000 10 101 1010 1000 10 Remainder See how big a number can be subtracted, creating quotient bit on each step Binary => 1 * divisor or

More information

Design and Implementation of IEEE-754 Decimal Floating Point Adder, Subtractor and Multiplier

Design and Implementation of IEEE-754 Decimal Floating Point Adder, Subtractor and Multiplier International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-4 Issue 1, October 2014 Design and Implementation of IEEE-754 Decimal Floating Point Adder, Subtractor and Multiplier

More information

MATH CO-PROCESSOR 8087

MATH CO-PROCESSOR 8087 MATH CO-PROCESSOR 8087 1 Gursharan Singh Tatla professorgstatla@gmail.com INTRODUCTION 8087 was the first math coprocessor for 16-bit processors designed by Intel. It was built to pair with 8086 and 8088.

More information

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT Usha S. 1 and Vijaya Kumar V. 2 1 VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics and Communication Engineering,

More information

COMPUTER ORGANIZATION AND ARCHITECTURE

COMPUTER ORGANIZATION AND ARCHITECTURE COMPUTER ORGANIZATION AND ARCHITECTURE For COMPUTER SCIENCE COMPUTER ORGANIZATION. SYLLABUS AND ARCHITECTURE Machine instructions and addressing modes, ALU and data-path, CPU control design, Memory interface,

More information

MIPS Integer ALU Requirements

MIPS Integer ALU Requirements MIPS Integer ALU Requirements Add, AddU, Sub, SubU, AddI, AddIU: 2 s complement adder/sub with overflow detection. And, Or, Andi, Ori, Xor, Xori, Nor: Logical AND, logical OR, XOR, nor. SLTI, SLTIU (set

More information

Floating-point representations

Floating-point representations Lecture 10 Floating-point representations Methods of representing real numbers (1) 1. Fixed-point number system limited range and/or limited precision results must be scaled 100101010 1111010 100101010.1111010

More information

Floating-point representations

Floating-point representations Lecture 10 Floating-point representations Methods of representing real numbers (1) 1. Fixed-point number system limited range and/or limited precision results must be scaled 100101010 1111010 100101010.1111010

More information

Number Systems Standard positional representation of numbers: An unsigned number with whole and fraction portions is represented as:

Number Systems Standard positional representation of numbers: An unsigned number with whole and fraction portions is represented as: N Number Systems Standard positional representation of numbers: An unsigned number with whole and fraction portions is represented as: a n a a a The value of this number is given by: = a n Ka a a a a a

More information

Using the DSP Blocks in Stratix & Stratix GX Devices

Using the DSP Blocks in Stratix & Stratix GX Devices Using the SP Blocks in Stratix & Stratix GX evices November 2002, ver. 3.0 Application Note 214 Introduction Traditionally, designers had to make a trade-off between the flexibility of off-the-shelf digital

More information

Tiny Tate Bilinear Pairing Core Specification. Author: Homer Hsing

Tiny Tate Bilinear Pairing Core Specification. Author: Homer Hsing Tiny Tate Bilinear Pairing Core Specification Author: Homer Hsing homer.hsing@gmail.com Rev. 0.1 May 3, 2012 This page has been intentionally left blank. www.opencores.org Rev 0.1 ii Rev. Date Author Description

More information

FP_IEEE_DENORM_GET_ Procedure

FP_IEEE_DENORM_GET_ Procedure FP_IEEE_DENORM_GET_ Procedure FP_IEEE_DENORM_GET_ Procedure The FP_IEEE_DENORM_GET_ procedure reads the IEEE floating-point denormalization mode. fp_ieee_denorm FP_IEEE_DENORM_GET_ (void); DeNorm The denormalization

More information

Computer Architecture Chapter 3. Fall 2005 Department of Computer Science Kent State University

Computer Architecture Chapter 3. Fall 2005 Department of Computer Science Kent State University Computer Architecture Chapter 3 Fall 2005 Department of Computer Science Kent State University Objectives Signed and Unsigned Numbers Addition and Subtraction Multiplication and Division Floating Point

More information

Floating Point Compare. Megafunction User Guide (ALTFP_COMPARE) 101 Innovation Drive San Jose, CA

Floating Point Compare. Megafunction User Guide (ALTFP_COMPARE) 101 Innovation Drive San Jose, CA Floating Point Compare (ALTFP_COMPARE) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008 Altera

More information

Double Precision Floating-Point Multiplier using Coarse-Grain Units

Double Precision Floating-Point Multiplier using Coarse-Grain Units Double Precision Floating-Point Multiplier using Coarse-Grain Units Rui Duarte INESC-ID/IST/UTL. rduarte@prosys.inesc-id.pt Mário Véstias INESC-ID/ISEL/IPL. mvestias@deetc.isel.ipl.pt Horácio Neto INESC-ID/IST/UTL

More information

GAISLER. IEEE-STD-754 Floating Point Unit GRFPU Lite / GRFPU-FT Lite CompanionCore Data Sheet

GAISLER. IEEE-STD-754 Floating Point Unit GRFPU Lite / GRFPU-FT Lite CompanionCore Data Sheet IEEE-STD-754 Floating Point Unit GRFPU Lite / GRFPU-FT Lite CompanionCore Data Sheet Features IEEE Std 754 compliant, supporting all rounding modes and exceptions Operations: add, subtract, multiply, divide,

More information

CS356: Discussion #3 Floating-Point Operations. Marco Paolieri

CS356: Discussion #3 Floating-Point Operations. Marco Paolieri CS356: Discussion #3 Floating-Point Operations Marco Paolieri (paolieri@usc.edu) Today s Agenda More Integer operations exercise Floating-Point operations exercise for Lab 2 Data Lab 2: What to implement

More information

Floating Point Arithmetic. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Floating Point Arithmetic. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Floating Point Arithmetic Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Floating Point (1) Representation for non-integral numbers Including very

More information

CSCI 402: Computer Architectures. Arithmetic for Computers (3) Fengguang Song Department of Computer & Information Science IUPUI.

CSCI 402: Computer Architectures. Arithmetic for Computers (3) Fengguang Song Department of Computer & Information Science IUPUI. CSCI 402: Computer Architectures Arithmetic for Computers (3) Fengguang Song Department of Computer & Information Science IUPUI 3.5 Today s Contents Floating point numbers: 2.5, 10.1, 100.2, etc.. How

More information

VHDL IMPLEMENTATION OF IEEE 754 FLOATING POINT UNIT

VHDL IMPLEMENTATION OF IEEE 754 FLOATING POINT UNIT VHDL IMPLEMENTATION OF IEEE 754 FLOATING POINT UNIT Ms. Anjana Sasidharan Student, Vivekanandha College of Engineering for Women, Namakkal, Tamilnadu, India. Abstract IEEE-754 specifies interchange and

More information

Enabling Impactful DSP Designs on FPGAs with Hardened Floating-Point Implementation

Enabling Impactful DSP Designs on FPGAs with Hardened Floating-Point Implementation white paper FPGA Enabling Impactful DSP Designs on FPGAs with Hardened Floating-Point Implementation Hardened floating-point DSP implementations enable algorithmic performance and faster time to market

More information

Chapter 3: Arithmetic for Computers

Chapter 3: Arithmetic for Computers Chapter 3: Arithmetic for Computers Objectives Signed and Unsigned Numbers Addition and Subtraction Multiplication and Division Floating Point Computer Architecture CS 35101-002 2 The Binary Numbering

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008

More information

Finite arithmetic and error analysis

Finite arithmetic and error analysis Finite arithmetic and error analysis Escuela de Ingeniería Informática de Oviedo (Dpto de Matemáticas-UniOvi) Numerical Computation Finite arithmetic and error analysis 1 / 45 Outline 1 Number representation:

More information

Computer Arithmetic Ch 8

Computer Arithmetic Ch 8 Computer Arithmetic Ch 8 ALU Integer Representation Integer Arithmetic Floating-Point Representation Floating-Point Arithmetic 1 Arithmetic Logical Unit (ALU) (2) (aritmeettis-looginen yksikkö) Does all

More information

Computer Arithmetic Ch 8

Computer Arithmetic Ch 8 Computer Arithmetic Ch 8 ALU Integer Representation Integer Arithmetic Floating-Point Representation Floating-Point Arithmetic 1 Arithmetic Logical Unit (ALU) (2) Does all work in CPU (aritmeettis-looginen

More information

Chapter 2 Float Point Arithmetic. Real Numbers in Decimal Notation. Real Numbers in Decimal Notation

Chapter 2 Float Point Arithmetic. Real Numbers in Decimal Notation. Real Numbers in Decimal Notation Chapter 2 Float Point Arithmetic Topics IEEE Floating Point Standard Fractional Binary Numbers Rounding Floating Point Operations Mathematical properties Real Numbers in Decimal Notation Representation

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Arithmetic (a) The four possible cases Carry (b) Truth table x y

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Arithmetic (a) The four possible cases Carry (b) Truth table x y Arithmetic A basic operation in all digital computers is the addition and subtraction of two numbers They are implemented, along with the basic logic functions such as AND,OR, NOT,EX- OR in the ALU subsystem

More information

Color Space Converter

Color Space Converter March 2009 Reference Design RD1047 Introduction s (CSC) are used in video and image display systems including televisions, computer monitors, color printers, video telephony and surveillance systems. CSCs

More information

By, Ajinkya Karande Adarsh Yoga

By, Ajinkya Karande Adarsh Yoga By, Ajinkya Karande Adarsh Yoga Introduction Early computer designers believed saving computer time and memory were more important than programmer time. Bug in the divide algorithm used in Intel chips.

More information

An Efficient Implementation of Floating Point Multiplier

An Efficient Implementation of Floating Point Multiplier An Efficient Implementation of Floating Point Multiplier Mohamed Al-Ashrafy Mentor Graphics Mohamed_Samy@Mentor.com Ashraf Salem Mentor Graphics Ashraf_Salem@Mentor.com Wagdy Anis Communications and Electronics

More information

CSE 141 Computer Architecture Summer Session Lecture 3 ALU Part 2 Single Cycle CPU Part 1. Pramod V. Argade

CSE 141 Computer Architecture Summer Session Lecture 3 ALU Part 2 Single Cycle CPU Part 1. Pramod V. Argade CSE 141 Computer Architecture Summer Session 1 2004 Lecture 3 ALU Part 2 Single Cycle CPU Part 1 Pramod V. Argade Reading Assignment Announcements Chapter 5: The Processor: Datapath and Control, Sec. 5.3-5.4

More information

Floating Point Representation in Computers

Floating Point Representation in Computers Floating Point Representation in Computers Floating Point Numbers - What are they? Floating Point Representation Floating Point Operations Where Things can go wrong What are Floating Point Numbers? Any

More information

15213 Recitation 2: Floating Point

15213 Recitation 2: Floating Point 15213 Recitation 2: Floating Point 1 Introduction This handout will introduce and test your knowledge of the floating point representation of real numbers, as defined by the IEEE standard. This information

More information

Floating Point January 24, 2008

Floating Point January 24, 2008 15-213 The course that gives CMU its Zip! Floating Point January 24, 2008 Topics IEEE Floating Point Standard Rounding Floating Point Operations Mathematical properties class04.ppt 15-213, S 08 Floating

More information

VLSI DESIGN OF FLOATING POINT ARITHMETIC & LOGIC UNIT

VLSI DESIGN OF FLOATING POINT ARITHMETIC & LOGIC UNIT VLSI DESIGN OF FLOATING POINT ARITHMETIC & LOGIC UNIT 1 DHANABAL R, 2 BHARATHI V, 3 G.SRI CHANDRAKIRAN, 4 BHARATH BHUSHAN REDDY.M 1 Assistant Professor (Senior Grade), VLSI division, SENSE, VIT University,

More information

In this lesson you will learn: how to add and multiply positive binary integers how to work with signed binary numbers using two s complement how fixed and floating point numbers are used to represent

More information

Assignment 01 Computer Architecture Lab ECSE

Assignment 01 Computer Architecture Lab ECSE Assignment 01 Computer Architecture Lab ECSE 487-001 Date due: September 22, 2006, Trottier Assignment Box by 14:30 1 Introduction The purpose of this assignment is to re-familiarize the student with VHDL

More information

Lecture 4 - Number Representations, DSK Hardware, Assembly Programming

Lecture 4 - Number Representations, DSK Hardware, Assembly Programming Lecture 4 - Number Representations, DSK Hardware, Assembly Programming James Barnes (James.Barnes@colostate.edu) Spring 2014 Colorado State University Dept of Electrical and Computer Engineering ECE423

More information

Computer Architecture and IC Design Lab. Chapter 3 Part 2 Arithmetic for Computers Floating Point

Computer Architecture and IC Design Lab. Chapter 3 Part 2 Arithmetic for Computers Floating Point Chapter 3 Part 2 Arithmetic for Computers Floating Point Floating Point Representation for non integral numbers Including very small and very large numbers 4,600,000,000 or 4.6 x 10 9 0.0000000000000000000000000166

More information

Floating-Point Numbers in Digital Computers

Floating-Point Numbers in Digital Computers POLYTECHNIC UNIVERSITY Department of Computer and Information Science Floating-Point Numbers in Digital Computers K. Ming Leung Abstract: We explain how floating-point numbers are represented and stored

More information

An FPGA Based Floating Point Arithmetic Unit Using Verilog

An FPGA Based Floating Point Arithmetic Unit Using Verilog An FPGA Based Floating Point Arithmetic Unit Using Verilog T. Ramesh 1 G. Koteshwar Rao 2 1PG Scholar, Vaagdevi College of Engineering, Telangana. 2Assistant Professor, Vaagdevi College of Engineering,

More information

FFT/IFFTProcessor IP Core Datasheet

FFT/IFFTProcessor IP Core Datasheet System-on-Chip engineering FFT/IFFTProcessor IP Core Datasheet - Released - Core:120801 Doc: 130107 This page has been intentionally left blank ii Copyright reminder Copyright c 2012 by System-on-Chip

More information

COMPARISION OF PARALLEL BCD MULTIPLICATION IN LUT-6 FPGA AND 64-BIT FLOTING POINT ARITHMATIC USING VHDL

COMPARISION OF PARALLEL BCD MULTIPLICATION IN LUT-6 FPGA AND 64-BIT FLOTING POINT ARITHMATIC USING VHDL COMPARISION OF PARALLEL BCD MULTIPLICATION IN LUT-6 FPGA AND 64-BIT FLOTING POINT ARITHMATIC USING VHDL Mrs. Vibha Mishra M Tech (Embedded System And VLSI Design) GGITS,Jabalpur Prof. Vinod Kapse Head

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01026-1.0 Software Version: 7.2 Document Version: 1.0 Document Date: November 2007

More information

Representing and Manipulating Floating Points

Representing and Manipulating Floating Points Representing and Manipulating Floating Points Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu The Problem How to represent fractional values with

More information

HIGH SPEED SINGLE PRECISION FLOATING POINT UNIT IMPLEMENTATION USING VERILOG

HIGH SPEED SINGLE PRECISION FLOATING POINT UNIT IMPLEMENTATION USING VERILOG HIGH SPEED SINGLE PRECISION FLOATING POINT UNIT IMPLEMENTATION USING VERILOG 1 C.RAMI REDDY, 2 O.HOMA KESAV, 3 A.MAHESWARA REDDY 1 PG Scholar, Dept of ECE, AITS, Kadapa, AP-INDIA. 2 Asst Prof, Dept of

More information

Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs

Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs Vendor Agnostic, High Performance, Double Precision Floating Point Division for FPGAs Xin Fang and Miriam Leeser Dept of Electrical and Computer Eng Northeastern University Boston, Massachusetts 02115

More information

Floating Point Puzzles The course that gives CMU its Zip! Floating Point Jan 22, IEEE Floating Point. Fractional Binary Numbers.

Floating Point Puzzles The course that gives CMU its Zip! Floating Point Jan 22, IEEE Floating Point. Fractional Binary Numbers. class04.ppt 15-213 The course that gives CMU its Zip! Topics Floating Point Jan 22, 2004 IEEE Floating Point Standard Rounding Floating Point Operations Mathematical properties Floating Point Puzzles For

More information

Floating Point Arithmetic

Floating Point Arithmetic Floating Point Arithmetic Jinkyu Jeong (jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu EEE3050: Theory on Computer Architectures, Spring 2017, Jinkyu Jeong (jinkyu@skku.edu)

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1208-1212 www.ijvdcs.org Implementation of Area Optimized Floating Point Unit using Verilog G.RAJA SEKHAR 1, M.SRIHARI 2 1 PG Scholar, Dept of ECE,

More information

Numerical Methods 5633

Numerical Methods 5633 Numerical Methods 5633 Lecture 2 Marina Krstic Marinkovic mmarina@maths.tcd.ie School of Mathematics Trinity College Dublin Marina Krstic Marinkovic 1 / 15 5633-Numerical Methods Organisational Assignment

More information

Implementation of 64-Bit Pipelined Floating Point ALU Using Verilog

Implementation of 64-Bit Pipelined Floating Point ALU Using Verilog International Journal of Computer System (ISSN: 2394-1065), Volume 02 Issue 04, April, 2015 Available at http://www.ijcsonline.com/ Implementation of 64-Bit Pipelined Floating Point ALU Using Verilog Megha

More information

Floating-point representation

Floating-point representation Lecture 3-4: Floating-point representation and arithmetic Floating-point representation The notion of real numbers in mathematics is convenient for hand computations and formula manipulations. However,

More information

4 Operations On Data 4.1. Foundations of Computer Science Cengage Learning

4 Operations On Data 4.1. Foundations of Computer Science Cengage Learning 4 Operations On Data 4.1 Foundations of Computer Science Cengage Learning Objectives After studying this chapter, the student should be able to: List the three categories of operations performed on data.

More information

Implementation of Double Precision Floating Point Multiplier in VHDL

Implementation of Double Precision Floating Point Multiplier in VHDL ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Implementation of Double Precision Floating Point Multiplier in VHDL 1 SUNKARA YAMUNA

More information

Institutionen för systemteknik

Institutionen för systemteknik Institutionen för systemteknik Department of Electrical Engineering Examensarbete Low Cost Floating-Point Extensions to a Fixed-Point SIMD Datapath Examensarbete utfört i Datorteknik vid Tekniska högskolan

More information