The Microelectronic Specialists. Product SHORT FORM

Size: px
Start display at page:

Download "The Microelectronic Specialists. Product SHORT FORM"

Transcription

1 The Microelectronic Specialists Product SHORT FORM January 2001

2 AEROFLEX UTMC STANDARD PRODUCTS Data Bus and Transceivers MIL-STD-1553B MIL-STD-1773 Multi-Protocol ±15V ±12V +5V UT69151 SµMMIT E 84, E6* Q,V UT69151 SµMMIT LXE E5** Q,V UT69151 SµMMIT DXE E6** Q,V UT69151 SµMMIT XTE Q UT69151 SµMMIT RTE , Q UT1553B BCRT 84, Q,V UT1553B BCRTM E6* Q,V UT1553 BCRTMP Q UT1553B RTI 84 M38510/55501 UT1553 RTMP Q UT1553B RTR 68 Q UT1760A RTS 68 Q UT63M14X Bus Transceiver E6 Q,V UT63M1XX Bus Transceiver*** 1553A 36 24,36 1.0E5 Q,V * RadHard product offering available only in 84-lead flatpack package ** RadHard product offering available only in 100-lead flatpack package *** Available single or dual channel Note 1. Saturated Cross Section (cm 2 ) per device: SµMMIT E, LXE, DXE, 1.7E-3; BCRTM, 7.9E-8 Note 2. LET TH (0.25) MeV - cm 2 /mg: SµMMIT E, LXE, DXE, 25; BCRTM, 77 Bus Controller Remote Terminal Monitor Dual Redundant 8 Bit I/O 16 Bit I/O Internal RAM Int. Transceiver Flatpack Pin Grid Array LCC DIP RadHard Total Dose QML Q & V RadHard Logic, Microcontrollers Flatpack DIP PGA RadHard Total Dose QML Q & V UT69RH051 MicroController UT80CRH196KD MicroController UT69R000 MicroController UT1750AR RISC Microprocessor UT22VP10 RADPAL RHMSI Family UT54ACS164245S Transceiver Fully-compatible with the MCS-51 ISA, 256 bytes of data RAM, 32 programmable I/O ports, 7 interrupt sources; flexible clock operation 1-20 MHz. Fully-compatible with the MCS-96 ISA, 1K bytes of data RAM, 3 programmable I/O ports, 18 interrupt sources; flexible clock operation 1-20 MHz, FirstPass Core IP. Harvard and Register-oriented architecture, 8 16 MHz, 15 interrupt levels, 16-bit or 32-bit register configurations, SEU < 7.1E-10 E/B-D. Operates in RISC or MIL-STD-1750A mode, full 64K word address space, 16-bit and 32-bit registers. 20 and 25 ns, anti-fuse process, fmax1: 33 MHz, 22 input/10 output drivers, 10 user-programmable output macrocells, SEU < 7.7E-10 E/B-D. Compatible to ACS and ACTS logic, high speed, lower power consumption, 5-volt supply, SEU threshold 80 MeV - cm 2 /mg. 16 Bit Wide MultiPurpose Transceiver with Schmitt Trigger Input, Cold Spare, I/O, Mixed Supply Operation (5V to 3V Converter), 3V/3V and 5V/5V E6 Q,V E5 Q,V E6 Q,V E6 Q,V ,28 Q E6 Q,V ,16,20 14,16,20 1.0E6 Q,V see page E5 Q,V Note 1. Saturated Cross Section (cm 2 ) per device: UT69RH051, 1.0E-4 per device; UT80CRH196KD, 3.1E-7; UT69R000, 1.2E-7; RADpal, 1.0E-6; RHMSI Family, 4.0E-6 Note 2. LET TH (0.25) MeV - cm 2 /mg: UT69RH051, 86; UT80CRH196KD, 25; UT69R000, 60; UT22VP10 RADpal, 80; RHMSI Family, 80 2

3 RadHard MSI LOGIC Standard Microcircuit Drawing (SMD) to UTMC Part Number AEROFLEX UTMC UTMC Part # UT54ACS00 Quadruple 2-Input UT54ACTS00 NAND Gates UT54ACS02 Quadruple 2-Input UT54ACTS02 NOR Gates UT54ACS04 Hex Inverters UT54ACTS UT54ACS08 Quadruple 2-Input UT54ACTS08 AND Gates UT54ACS10 Triple 3-Input UT54ACTS10 NAND Gates UT54ACS11 Triple 3-Input UT54ACTS11 AND Gates UT54ACS14 Hex Inverter UT54ACTS14 Schmit Trigger UT54ACS20 Dual 4-Input UT54ACTS20 NAND Gates UT54ACS27 Triple 3-Input UT54ACTS27 NOR Gates UT54ACS34 Hex Noninverting Buffers UT54ACTS UT54ACS54 4-Wide AND-OR UT54ACTS54 INVERT Gates UT54ACS74 Dual D Flip-Flops UT54ACTS74 with Clear & Preset UT54ACS85 4-Bit Comparators UT54ACTS UT54ACS86 Quadruple 2-Input UT54ACTS86 Exclusive OR Gates UT54ACS109 Dual J-K Flip-Flops UT54ACTS UT54ACS132 Quadruple 2-Input UT54ACTS132 NAND Schmitt Triggers UT54ACS138 3-Line to 8-Line UT54ACTS138 Decoders/Demultiplexers UT54ACS139 Dual 2-Line to 4-Line UT54ACTS139 Decoders/Demultiplexers UT54ACS151 1 of 8 Data UT54ACTS151 Selectors/Multiplexers UT54ACS153 Dual 4-Input Multiplexer UT54ACTS153 w/three-state Outputs UT54ACS157 Quadruple 2 to UT54ACTS157 Multiplexers UT54ACS163 4-Bit Synchronous UT54ACTS163 Counters UT54ACS164 8-Bit Shift Registers UT54ACTS164 UTMC Part # UT54ACS165 8-Bit Parallel UT54ACTS165 Shift Registers UT54ACS169 4-Bit Up-Down UT54ACTS169 Binary Counters UT54ACS190 Synchronous 4-Bit Up-Down UT54ACTS190 BCD Counters UT54ACS191 Synchronous 4-Bit Up-Down UT54ACTS191 Binary Counters UT54ACS193 Synchronous 4-Bit Up-Down UT54ACTS193 Dual Clock UT54ACTS220 Clock & Wait-State Generation Circuit UT54ACS240 Octal Buffers w/inverted UT54ACTS240 Three-State Outputs UT54ACS244 Octal Buffers & Line Drivers, UT54ACTS244 Three-State Outputs UT54ACS245S Schmitt Trigger Octal Bus Transceivers w/three-state Outputs UT54ACS245 Octal Bus Transceivers UT54ACTS245 with Three-State Outputs UT54ACS253 Dual 4-Input UT54ACTS253 Multiplexers UT54ACS264 Look-Ahead Carry UT54ACTS264 Generators for Counters UT54ACS273 Octal D Flip-Flops UT54ACTS273 with Clear UT54ACS279 Quadruple S-R Latches UT54ACTS UT54ACS280 9-Bit Parity UT54ACTS280 Generators/Checkers UT54ACS283 4-Bit Binary Full Adders UT54ACTS UT54ACS365 Hex Buffer/Line Driver UT54ACTS365 with Three-State Outputs UT54ACS373 Octal Transparent Latches UT54ACTS373 with Three-State Outputs UT54ACS374 Octal D Flip-Flops UT54ACTS374 with Three-State Outputs UT54ACS540 Octal Driver, with UT54ACTS540 Inverted Three-State Output UT54ACS541 Octal Driver, with UT54ACTS541 Three-State Output UT54ACS4002 Dual 4-Input UT54ACTS4002 NOR Gate Standard Product Cards Compatibility Space Instruction RadHard Total Dose UT131 ECC Broadband data acquisition card with A/D converter, on-board 16-bit, 16 MHz, MCS-96 compatible microcontroller. Optional customized form factors. 64K 50K UT231 ECC Broadband data acquisition card for Avionic and Vetronic applications, A/D converter, four channel D/A converters, on-board 16-bit, 20 MHz, MCS-96 compatible microcontroller. Optional customized form factors. 1M n/a UT54LVDS-EVB Evaluation Board Fully populated board ready for media and AC electrical performance testing of IEEE SCI LVDS, UT54LVDS031 and UT54LVDS032. ANSI/TIA/EIA LVDS standard 3

4 AEROFLEX UTMC RadHard Memories Configuration Voltages Access Time RadHard Total Dose LET TH (0.25) MeV - cm 2 /mg per bit Saturated Cross Section (cm 2 ) Latch-Up Immune CMOS Inputs TTL Inputs Flatpack DIP QML Q, T & V UT7Q512 SRAM 512K x ns 3E E-8 32 Q,T UT8Q512 SRAM 512K x ns 3E E-9 36 Q,T UT9Q512 SRAM 512K x ns 3E E-9 36 Q,T UT7Q512K32 16M SRAM MCM 512K x ns 3E E-8 68 Q,T UT8Q512K32 16M SRAM MCM 512K x ns 3E E-9 68 Q,T UT9Q512K32 16M SRAM MCM 512K x ns 3E E-9 68 Q,T UT67164RH SRAM 8K x ns 1.0E E Q,V UT7C138/139RH Dual-Port SRAM 4K x 8/ ns 1.0E E Q,V UT28F64RH PROM 8K x ns 1.0E E-11* Q,V UT28F256RH PROM 32K x ns 1.0E E-11* Q,V * Saturated Cross Section (cm 2 ) per device RadHard LVDS UT54LVDS031 Quad Driver E6 Q,V UT54LVDS032 Quad Receiver E6 Q,V UT54LVDS031LV Quad Driver E5 Q,V UT54LVDS032LV Quad Receiver E5 Q,V Note 1. See LVDS Evaluation Board under Standard Product Cards MIL-STD-1553B MIL-STD-1773 Multi-Protocol ±15V ±12V +3.3V +5V Int. Transceiver Bus Controller Remote Terminal Monitor Dual Redundant 8 Bit I/O 16 Bit I/O Internal RAM Flatpack Pin Grid Array LCC DIP RadHard Total Dose QML Q & V CIRCUIT CARD ASSEMBLY Circuit Card Assembly The UTMC Circuit Card Assembly capability consists of assembly, test and coat in a high-mix/low-to-medium-volume operation. UTMC s process equipment and test capabilities provide for state-of-the-art manufacturing. UTMC provides full turnkey or consignment sub-contract assembly services for high reliability products. UTMC s SpaceCard combines best commercial practices of the circuit card assembly with UTMC s radiation-hardened integrated circuits to provide CCA solutions for the commercial space industry. The CCAs are also manufactured for commercial and military aircraft engine and environmental control systems. UTMC s CCA operation also assembles the UT131 and UT231 Embedded Controller Cards and the UT54LVDS-EVB Evaluation Board, all part of the UTMC Standard Product Line. We also assemble UTMC s e.card Commercial Product. UTMC s Circuit Card Assembly capability is ISO 9002 approved. SEMICUSTOM PRODUCTS RadHard ASICs 0.6 micron 0.25 micron ASIC Design System Features Up to 600K usable gates; clock rates up to 400 MHz; +5V and +3.3V operation; CMOS processed in commercial fab; radiation hard from 100K to 10E6 rads (Si). Up to 3.5M usable gates; toggle rates up to 1 GHz; +3.3V, +2.5V, +1.8V operation; CMOS processed in commercial fab; radiation hard from 100K to 10E6 rads (Si). Supports design signoff in Synopsys and Mentor tools, and tools using VHDL and Verilog languages. 4

5 APPLICATION SPECIFIC MULTI-CHIP AND HYBRID MODULES Application Specific Multi-Chip and Hybrid Modules Mixed Signal, Optical Electronics, RF Amplifiers, Custom IC Chip On Board/Ring Frame Features Telecom, Industrial, Class H for military or Class K for space applications. Co-fired ceramic or plastic packages; thick film or thin film multilayer or thick film/thin film combo; LTCC; MCM-C (ceramic) and MCM-L (laminate). Packaging of optical devices such as CCDs, Photodiodes, Fiberoptic Transceiver modules. RF amplifiers and switches. Custom IC Analog design capabilities bipolar and mixed signal designs. Plastic encapsulated, SMT and leadless packaging solutions; cost effective; lightweight, with the density of chip and wire hybrid techniques. STANDARD PRODUCTS: COMPONENTS, SINGLE-BOARD COMPUTERS MIPS RISC Microprocessors CPU Speeds (MHz) ACT-5230PC-(100 to 150)F17X 32-Bit, 16Kb Primary cache/l1, 3.3V supply with 3.3/5V I/O tol. 100, 133, 150 ACT-5260PC-(120 to 180)F17X 64-Bit, 16Kb Primary cache/l1, 3.3V supply with 3.3/5V I/O tol. 120, 150, 180 ACT-5270PC-(133 to 200)F17X 64-Bit, 16Kb Primary cache/l1, 3.3V supply with 3.3/5V I/O tol. 133, 150, 200 ACT-5271PC-(133 to 200)F17X 64-Bit, 32Kb Primary cache/l1, 3.3V supply with 3.3 I/O tol. 133, 150, 200 ACT-5271SC-(150 to 200)F10X ACT-7000SC-(150 to 225)F17X ACT-7000SC-(150 to 225)F24X ACT-7000TC-(150 to 200)F10X 64-Bit, 32Kb Primary cache/l1, L2-2Mb in module, 3.3V supply with 3.3 I/O tol. 64-Bit, 16Kb Primary cache/l1, L2-256 (on-chip), 2.5V and 3.3V supply with 3.3V I/O. 64-Bit, 16Kb Primary cache/l1, L2-256 (on-chip), 2.5V & 3.3V supply with 3.3V I/O. 64-Bit, 16Kb Primary cache/l1, L2-256 (on-chip), L3-2Mb in module, 2.5V & 3.3V supply with 3.3V I/O. 150, , 200, 210, , 200, 210, , 200 (Advanced product information) Call us for pin to pin migration path for 52xx through 7xxx, QED IDT, NEC, NKK compatible Screening: X at end of P/N= Q~MIL Qualified, M~MIL screened, T~Mil. Temp., I~Ind. Temp., C-Com. Temp. PACKAGES Surface Mount Thru Hole F10=2.50" x 1.75" 280-lead CQFP P10=1.86" x 1.86" 170 pin CPGA F17=1.12" x 1.12" 208-lead CQFP P11=1.86" x 1.86" 223 pin CPGA F19=1.28" X 1.28" 240-lead CQFP F21=1.09" X 1.09" 208-lead MQUAD F22=1.10" X 1.10" 128-lead PQUAD F23=1.10" X 1.10" 208-lead PQUAD F24=INVERTED F17 (CAVITY UP) B1=1.22" x 1.22" 304 ball SBGA ADAPTER Cards-for migrating to next generation CPUs. ACT-5260PC-P10 Upgrade from R4400/R CPGA ACT-5270PC-P10 Upgrade from R4400/R CPGA ACT-7000SC-P10 Upgrade from R4400/R CPGA ACT-7000SC-P11 Upgrade from R CPGA VME Boards and Integrated Products ACT8010/8011 (STAR MVP ) Features MIPS based single board computer assemblies; MIL-VME format, RM7000, 64-bit MIPS CPU, DMA Controller, 64 Mb EDO DRAM, Mb Flash, 8 Kb NovRAM, I/O Subsystem Interface, RS232 Ports and B Ports, Ethernet, Mezzanine Expansion. Bootable Modules ACT-7000BM Features PCI Mezzanine Card in PMC form factor, MIPS RM7000 ( MHz CPU, 32Mb SDRAM (x64), 4Mb Flash (8+Mb compr'd), 4Mb EPROM max., one 10/100 base T Ethernet Interface, DMA (4 Ind. Channels), PCI Interface, fully comp. to PCI V2.1,FPGA configurable I/O devices: 2 Serial Ports, MIL-STD-1553,DSP Ftns, Customer specific Ftns avail. 5

6 Memory Modules Speeds (ns) Aeroflex Part # Package SRAM 128K32, 4Mbit, 5V 17, 20, 25, 35, 45 ACT-S128K32N-(017 to 045)P2X 66 CPGA 1.18 sq (10 to 06)HTC 17, 20, 25, 35, 45 ACT-S128K32C-(017 to 045)P2X 66 CPGA 1.18 sq (10 to 06)HXC 17, 20, 25, 35, 45 ACT-S128K32C-(017 to 045)P7X 66 CPGA 1.08 sq (10 to 06)H5C 17, 20, 25, 35, 45 ACT-S128K32N-(017 to 045)P7X 66 CPGA 1.08 sq (10 to 06)H4C 17, 20, 25, 35, 45 ACT-S128K32N-(017 to 045)F1X 68 CQFP 1.56 sq (10 to 06)HYC 17, 20, 25, 35, 45 ACT-S128K32N-(017 to 045)F1X 68 CQFP 1.56 sq (10 to 06)HXC 17, 20, 25, 35, 45 ACT-S128K32N-(017 to 045)F2X 68 CQFP 0.88 sq (10 to 06)HMC SRAM 128K32, 4Mbit, 3.3V 17, 20, 25, 35, 45 ACT-S128K32V-(017 to 045)F2X 68 CQFP 0.88 sq. 17, 20, 25, 35, 45 ACT-S128K32V-(017 to 045)P7X 66 CPGA 1.08 sq. SRAM 512K32, 16Mbit, 5V 17, 20, 25, 35, 45 ACT-S512K32N-(017 to 045)P7X 66 CPGA 1.08 sq (10 to 06)HTC 17, 20, 25, 35, 45 ACT-S512K32C-(017 to 045)P1X 66 CPGA sq (10 to 06)HXC 17, 20, 25, 35, 45 ACT-S512K32N-(017 to 045)F2X 68 CQFP 0.88 sq (10 to 06)HMC SRAM 512K32, 16Mbit, 3.3V 17, 20, 25, 35, 45 ACT-S512K32V-(017 to 045)F2X 68 CQFP 0.88 sq. 17, 20, 25, 35, 45 ACT-S512K32V-(017 to 045)P7X 66 CPGA 1.08 sq. EEPROM 128K32, 4Mbit, 5V 120, 140, 150, 200, 250, 300 ACT-E128K32N-(120 to 300)P7X 66 CPGA 1.08 sq (06 to 01)H4C 120, 140, 150, 200, 250, 300 ACT-E128K32C-(120 to 300)P7X 66 CPGA 1.08 sq (06 to 01)H5C 120, 140, 150, 200, 250, 300 ACT-E128K32N-(120 to 300)F2X 68 CQFP 0.88 sq (06 to 01)HMC Flash 128K32, 4Mbit, 5V 60, 70, 90, 120, 150 ACT-F128K32N-(060 to 150)F5X 68 CQFP 0.88 sq (05 to 01)HNC 60, 70, 90, 120, 150 ACT-F128K32N-(060 to 150)F5X 68 CQFP 0.88 sq (05 to 01)HMC 60, 70, 90, 120, 150 ACT-F128K32N-(060 to 150)P3X 66 CPGA 1.08 sq (05 to 01)HZC 60, 70, 90, 120, 150 ACT-F128K32N-(060 to 150)P7X 66 CPGA 1.08 sq (05 to 01)HYC 60, 70, 90, 120, 150 ACT-F128K32N-(060 to 150)P7X 66 CPGA 1.08 sq (05 to 01)H8C 60, 70, 90, 120, 150 ACT-F128K32C-(060 to 150)P3X 66 CPGA 1.08 sq (05 to 01)HXC 60, 70, 90, 120, 150 ACT-F128K32C-(060 to 150)P3X 66 CPGA 1.08 sq (05 to 01)HVC 60, 70, 90, 120, 150 ACT-F128K32C-(060 to 150)P7X 66 CPGA 1.08 sq (05 to 01)HUC 60, 70, 90, 120, 150 ACT-F128K32C-(060 to 150)P7X 66 CPGA 1.08 sq (05 to 01)H6C Flash 512K32, 16Mbit, 5V 60, 70, 90, 120, 150 ACT-F512K32N-(060 to 150)F5X 68 CQFP 0.88 sq (05 to 01)HMC 60, 70, 90, 120, 150 ACT-F512K32N-(060 to 150)F1X 68 CQFP 1.56 sq (05 to 01)HTC 60, 70, 90, 120, 150 ACT-F512K32N-(060 to 150)P7X 66 CPGA 1.08 sq (05 to 01)HUC 60, 70, 90, 120, 150 ACT-F512K32N-(060 to 150)P7X 66 CPGA 1.08 sq (05 to 01)H4C 60, 70, 90, 120, 150 ACT-F512K32N-(060 to 150)P3X 66 CPGA 1.08 sq (05 to 01)HXC Flash 1M32, 32Mbit, 5V (3.3V - Consult Factory) 70, 90, 120, 150 ACT-F1M32B-(070 to 150)F14X 68 CQFP 0.94 sq. 70, 90, 120, 150 ACT-F1M32T-(070 to 150)F14X 68 CQFP 0.94 sq. Flash 2M32, 64Mbit, 5V 90, 120, 150 ACT-F2M32A-(090 to 150)F18X 68 CQFP 0.94 sq (03 to 01)HXC 90, 120, 150 ACT-F2M32C-(090 to 150)F18X 68 CQFP 0.94 sq (03 to 01)HXC 6

7 Memory Monolithics Speeds (ns) Aeroflex Part # Package SRAM 512K8, 4Mbit, 5V 17, 20, 25, 35, 45 ACT-S512K8N-(017 to 045)F3X 36 CFP (10 to 06)HUC 17, 20, 25, 35, 45 ACT-S512K8N-(017 to 045)F4X 36 CSOJ (10 to 06)HZC 17, 20, 25, 35, 45 ACT-S512K8N-(017 to 045)P4X 32 CDIP (10 to 06)HYC Plastic SRAM 512K8, 4Mbit, 5V 12, 15, 17, 20 ACT-PS512K8N-(012 to 025)L2X 36 SOJ Plastic SRAM 512K8, 4Mbit, 3.3V 12, 15, 17, 20 ACT-PS512K8V-(012 to 025)L2X 36 SOJ Flash 512K8, 4Mbit, 5V 60, 70, 90, 120, 150 ACT-F512K8N-(060 to 150)P4X 32 CDIP (05 to 01)HYC 60, 70, 90, 120, 150 ACT-F512K8N-(060 to 150)F6X 32 CFP Unformed (05 to 01)HTC 60, 70, 90, 120, 150 ACT-F512K8N-(060 to 150)F7X 32 CFP Formed (05 to 01)HUC 60, 70, 90, 120, 150 ACT-F512K8N-(060 to 150)F9X 32 CSOJ Advanced (05 to 01)HXC Flash 128K8, 1Mbit, 5V 60, 70, 90, 120, 150 ACT-F128K8N-(060 to 150)P4X 32 CDIP (05 to 01)HYC 60, 70, 90, 120, 150 ACT-F128K8N-(060 to 150)F6X 32 CFP Unformed (05 to 01)HTC 60, 70, 90, 120, 150 ACT-F128K8N-(060 to 150)F7X 32 CFP Formed (05 to 01)HUC 60, 70, 90, 120, 150 ACT-F128K8N-(060 to 150)F9X 32 CSOJ Advanced (05 to 01)HXC SDRAM Speeds (ns) Aeroflex Part # Package SDRAM 1M96, 96Mbit, 3.3V 20 ACT-D1M96S-020F20X 200 CQFP 1.45 sq. Combo Modules SRAM/Flash, 5V Speeds (ns) Aeroflex Part # Package 512K16 SRAM, 512K16 Flash SRAM - 35, Flash - 90 ACT-SF512K16N-3590F18X 68 CQFP 0.94 sq HMC SRAM - 35, Flash - 90 ACT-SF512K16N-3590F18X 68 CQFP 0.94 sq HNC SRAM - 35, Flash - 90 ACT-SF512K16N-3590P7X 66 CPGA 1.08 sq HXC 128K16 SRAM, 128K16 Flash SRAM - 35, Flash - 70 ACT-SF128K16N-3570F18X 68 CQFP 0.94 sq HMC SRAM - 35, Flash - 70 ACT-SF128K16N-3570F18X 68 CQFP 0.94 sq HNC SRAM - 35, Flash - 70 ACT-SF128K16N-3570P7X 66 CPGA 1.08 sq HXC SRAM - 35, Flash - 70 ACT-SF128K16N-3570P7X 66 CPGA 1.08 sq H4C 128K16 SRAM, 512K16 Flash SRAM - 35, Flash - 70 ACT-SF2816N-37P7X 66 CPGA 1.08 sq. SRAM - 35, Flash - 70 ACT-SF2816N-37F18X 68 CQFP 0.94 sq. SRAM - 35, Flash - 70 ACT-SF2816N-39P7X 66 CPGA 1.08 sq. SRAM - 35, Flash - 70 ACT-SF2816N-39F18X 68 CQFP 0.94 sq. Screening: X at end of P/N= Q~MIL Qualified, M~MIL screened, T~Mil., I~Ind., C-Com. Temp. only. MEMORY PACKAGES CPGA-type 66-pin CQFP CQFP 68-lead (Fits in standard.99"sq. 68-lead JEDEC footprint) Monolithics P1 ~ 1.385"sq., P5-no shoulders F20~1.45"sq. x 1.1" ht. 200-lead CQFP F1 ~ 1.56"sq. x.14" ht. Low-profile single-cavity P4 ~ 32-pin CDIP (1.6" x.6 x.2") P2 ~ 1.18"sq., P6-no shoulders F2 ~.88"sq. x.20" ht. dual-cavity F3 ~ 36-pin CFP (.92" x.51" x.13") P7 ~ 1.08"sq., P3-no shoulders F2L ~.88"sq. x.18" ht. dual-cavity F4 ~ 36-pin CSOJ (.92 x.43" x.184") F5 ~.88"sq. x.16" ht. single-cavity F6 ~ 32-lead CFP (Unformed.82" x.41" x.11") F14 ~.94"sq. x.18" ht. dual-cavity F7 ~ 32-lead CFP (Formed.82" x.41" x.11") F18 ~.94"sq. x.14" ht. single-cavity F8 ~ 32-lead CLCC (.82" x.51")* Advanced (Uncut Leads available, add 'U' to Callout--i.e. F5U) F9 ~ 32-lead CSOJ (.83" x.43")* Advanced L2 ~ 36-lead SOJ Plastic 7

8 MILITARY AVIONICS DATA COMMUNICATION MODULES Military LANS Features MIL-STD-1397 H009 Navy serial 10 MHz; CT2500 type D and E protocol device; CT1815 type D transceiver; CT1698 type E transceiver. ARX-4435 transceiver compatible with MACAIR H009 data bus specification for avionics systems. MACAIR A3818 and A5690 compatible monolithic transceiver devices (4404, 4454, 4808D, 4810). ARINC channel line receiver; 8-channel decoder; single channel decoder; 2-channel transmitter (MT 72038, MT 34013, MT 7003, MT 70014). ARINC-629 SIM and CMC for avionics applications. MIL-STD-1553 ARX pin quad plug-in 1.6 x 1.9 ; single channel remote terminal ARX2458 ARX2554, +5V, ±12V; ARX2558, +5, ±15V CT pin quad plug-in 1.6 x 1.9 ; single channel Macair remote terminal +5V, ±12V to ±15V ARX2412 CT1820 Series CT2512 CT2512-FP 48 pin plug-in; Manchester converter; obsolete for new designs 56 pin plug-in 2.2 x 1.2 ; terminal bit processor; +5V; also available in a 1.0 x lead flatpack 78 pin quad plug-in 1.9 x 2.1 ; dual redundant remote terminal with dual transceivers; +5V, ±15V; 16 bit bus; 12 MHz Same as CT2512 except 82-lead flatpack CT2513 Same as CT2512 except +5V, ±12V CT2513-FP CT2565 CT2565-FP CT2566 CT2566-FP Same as CT2512 except 82-lead flatpack 78 pin quad plug-in 1.9 x 2.1 ; dual redundant RT/BC/MT protocol unit no transceiver; 12 MHz Same as CT2565 except 82-lead flatpack 78 pin quad plug-in 1.9 x 2.1 ; memory management unit Same as CT2566 except 82-lead flatpack CT pin quad plug-in 1.9 x 2.1 ; dual redundant RT/BC/MT protocol unit dual transceivers; 8K x 16 Ram; +5V, ±15V CT2554 Same as CT2553 except +5V, ±12V CT2555 CT2556 CT2553-FP CT2554-FP CT2555-FP CT2556-FP CT2542 CT2542-FP Same as CT2553 except +5V only Same as CT2553 except uses external transceivers Same as CT2553 except 82-lead flatpack Same as CT2554 except 82-lead flatpack Same as CT2555 except 82-lead flatpack Same as CT2556 except 82-lead flatpack 78 pin quad plug-in 1.9 x 2.1 ; dual redundant remote terminal with dual transceivers; +5V, -15V; 16 bit bus; 16 MHz Same as CT2542 except 82-lead flatpack CT2543 Same as CT2542 except +5V, -12V CT2543-FP CT2510 Same as CT2542 except +5V, -12V, 82-lead flatpack 78 pin quad plug-in 1.9 x 2.1 ; dual redundant remote terminal with dual transceivers; +5V, -15V; 16 bit bus; 12 MHz CT2511 Same as CT2510 except +5V, -12V CT2510-FP CT2511-FP CT2561 CT2561-FP Same as CT2510 except 82-lead flatpack Same as CT2511 except 82-lead flatpack 78 pin quad plug-in 1.9 x 2.1 ; dual redundant RT/BC/MT protocol unit no transceiver; 16 MHz Same as CT2561 except 82-lead flatpack 8

9 Data Bus Transceivers Single Channel Circuits 1760/1553 MAC AIR Size Package Type Leads Idle RCVR Outputs Power Supplies Ground ACT4419* 1.27 x 1.27 Plug-in or Flatpack 24 Low +5V ACT4419I* 1.27 x 1.27 Plug-in or Flatpack 24 High +5V ACT x LCC 28 Low +5V ACT x LCC 28 High +5V ACT x Plug-in 24 Low +5V, ±12V ACT4489I x Plug-in 24 High +5V, ±12V ACT4489F x Flatpack 24 Low +5V, ±12V ACT4489FI x Flatpack 24 High +5V, ±12V ACT x 1.0 Plug-in 24 Low +5V, ±15V ACT4402I 0.3 x 1.0 Plug-in 24 High +5V, ±15V ACT x Plug-in 24 Low +5V, ±15V ACT4487I x Plug-in 24 High +5V, ±15V ACT4487F x Flatpack 24 Low +5V, ±15V ACT4487FI x Flatpack 24 High +5V, ±15V ARX x 1.27 Plug-in or Flatpack 24 High +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 High +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 High +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 Low +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 Low +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 Low +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 Low +5V, ±12V to ±15V Open ARX x 1.27 Plug-in or Flatpack 24 High +5V, ±12V to ±15V Open * Variable Amplitude Transceiver (similar to ARX4418) - contact factory for information. Transformer types , , , Data Bus Transceivers Dual Channel Circuits 1760/1553 MAC AIR Size Package Type Leads Idle RCVR Outputs Power Supplies Ground ACT4419D* 0.3 x 1.0 Plug-in 20 Low +5V ACT4419DF* 0.3 x 1.0 Flatpack 20 Low +5V ACT x 1.9 Plug-in or Flatpack 36 Low +5V ACT x 1.9 Plug-in or Flatpack 36 High +5V ACT x 0.8 Flatpack 24 High +5V ACT x 0.8 Flatpack 24 Low +5V

10 Data Bus Transceivers Dual Channel Circuits (continued) 1760/1553 MAC AIR Size Package Type Leads Idle RCVR Outputs Power Supplies Ground ACT x 0.8 Flatpack 24 Low +5V ACT x 0.8 Flatpack 24 High +5V ACT x 1.9 Plug-in or Flatpack 36 High +5V ACT4468D 0.3 x 1.0 Plug-in 20 Low +5V ACT4468DI 0.3 x 1.0 Plug-in 20 High +5V ACT4468DF 0.3 x 1.0 Flatpack 20 Low +5V ACT4468DFI 0.3 x 1.0 Flatpack 20 High +5V ACT4423D x 1.9 Plug-in 36 Low +5V, +12V ACT4423DI x 1.9 Plug-in 36 High +5V, +12V ACT4423DF x 1.9 Flatpack 36 Low +5V, +12V ACT4423DFI x 1.9 Flatpack 36 High +5V, +12V ARX x 1.2 Plug-in or Flatpack 22 High +5V, -15V Open ARX x 1.2 Plug-in or Flatpack 22 Low +5V, -15V Open ACT x 1.9 Plug-in or Flatpack 36 Low +5V, -15V ACT4489D x 1.9 Plug-in 36 Low +5V, ±12V ACT4489DI x 1.9 Plug-in 36 High +5V, ±12V ACT4489DF x 1.9 Flatpack 36 Low +5V, ±12V ACT4489DFI x 1.9 Flatpack 36 High +5V, ±12V ACT4433D x 1.48 Plug-in 28 Low +5V, ±12V ACT4433DI x 1.48 Plug-in 28 High +5V, ±12V ACT4433DF x 1.50 Flatpack 28 Low +5V, ±12V ACT4433DFI x 1.50 Flatpack 28 High +5V, ±12V ACT4487D x 1.9 Plug-in 36 Low +5V, ±15V ACT4487DI x 1.9 Plug-in 36 High +5V, ±15V ACT4487DF x 1.9 Flatpack 36 Low +5V, ±15V ACT4487DFI x 1.9 Flatpack 36 High +5V, ±15V ACT4436D x 1.48 Plug-in 28 Low +5V, ±15V ACT4436DI x 1.48 Plug-in 28 High +5V, ±15V ACT4436DF x 1.50 Flatpack 28 Low +5V, ±15V ACT4436DFI x 1.50 Flatpack 28 High +5V, ±15V ACT4808D, ACT4808LD x 1.9 Plug-in 36 Low +5V, ±12V to ±15V Open ACT4808DI, ACT4808LDI x 1.9 Plug-in 36 High +5V, ±12V to ±15V Open ACT4808DF, ACT4808LDF x 1.9 Flatpack 36 Low +5V, ±12V to ±15V Open ACT4808DFI, ACT4808LDFI x 1.9 Flatpack 36 High +5V, ±12V to ±15V Open * Variable Amplitude Transceiver - contact factory for information. Transformer types , , ,

11 POWER MODULES, MULTIPLEXERS, REGULATORS AND SOLID STATE RELAYS Power Modules DC to DC Converters Motor Controllers Custom Power MOSFET modules Solid State Switches Features 15W, 35W, 50W, 100W units; 2.5V, 3.3V, 5V, 12V, 15V, 28V single output units; 86-90% efficiency; internal EMI filters. 2 phase/3 phase brushless motor controllers; stepper motor drivers; fin actuator drivers; cryogenic cooler pump drivers. Space qualified/hi-rel construction; high current/voltage/power; very low thermal resistance: 0.5 C/W. High Side Drivers with built in Current Limiting and Thermal Shutdown Circuitry, Low RDSon, Current Ranges 0.5A - 10A. Applications: Solar Array String Drivers, Serial Commandable Relays, Multi-Channel Low Loss Solid State Drivers, up Controlled Drivers. RadHard Analog Multiplexer Modules Channels Voltage Channels Voltage & Current Mux Output Monitor Input Transorbs Protection Busses # of Address Lines # of Enable ACT SQ 96-lead quad ceramic flatpack (CQFP) ACT SQ 96-lead quad ceramic flatpack (CQFP) ACT SQ 96-lead quad ceramic flatpack (CQFP) ACT SQ 96-lead quad ceramic flatpack (CQFP) ACT SQ 96-lead quad ceramic flatpack (CQFP) ACT SQ 96-lead quad ceramic flatpack (CQFP) Voltage Regulators Package Rad Tolerance ACT8600 ACT8601 ACT8602 ACT8603 Dual adjustable 6 terminal, positive (XX117) and negative (XX137) voltage regulator each 6 terminal thru hole 50K rads capable of supplying in excess of 1.5A over an output voltage range of 1.2V to 37V. metal package total dose Dual adjustable 6 terminal, positive (XX117) and negative (XX137) voltage regulator each Surface Mount 50K rads capable of supplying in excess of 1.5A over an output voltage range of 1.2V to 37V. Package - Outline TBA total dose Dual adjustable 6 terminal, positive (XX117) and negative (XX137) voltage regulator each 6 terminal thru hole 100K rads capable of supplying in excess of 1.5A over an output voltage range of 1.2V to 37V. metal package total dose Dual adjustable 6 terminal, positive (XX117) and negative (XX137) voltage regulator each Surface Mount 100K rads capable of supplying in excess of 1.5A over an output voltage range of 1.2V to 37V. Package - Outline TBA total dose ACT (three) output voltage regulator, +3V, +5V, -5V. Surface Mount Package - Outline TBA 100K rads total dose ARX V low noise DC voltage regulators 3-lead Power Package.820 x.690 ARX V low noise DC voltage regulators 3-lead Power Package.820 x.690 ARX V DC voltage regulators TO-3 Type Package ARX V DC voltage regulators TO-3 Type Package ARX V DC voltage regulators TO-3 Type Package ARX V DC voltage regulators TO-3 Type Package ARX V DC voltage regulators TO-3 Type Package ARX V DC voltage regulators TO-3 Type Package Solid State Relays Package Microprocessor controlled QUAD solid state relay. Four independent 1.0 Amp switches, CT Volts isolation between switches. Operates from a 5V Logic and 15V Bias. DC Trip 40-lead Plug-in package x Level 1.3 Amps. Switches are designed to withstand Electro-Magnetic Pulse (EMP). 11

12 Sales Offices Aeroflex UTMC Main Office 4350 Centennial Blvd. Colorado Springs, CO UTMC Aeroflex Circuit Technology Main Office 35 S. Service Road Plainview, NY THE European Sales Office 4350 Centennial Blvd. Colorado Springs, CO (fax) Boston Sales Office 6 Daniel Webster Hwy, #3 Nashua, NH Melbourne Sales Office 1901 S. Harbor City Blvd., Suite 802 Melbourne, FL California, Far East, S. America Sales Office 120 Columbia St., Suite 200 Aliso Viejo, CA UTMC THE All specifications subject to change without notice. Copyright Printed in USA.

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 1 The proposed presentation explores the use of commercial processes, including deep-sub micron process technology, package

More information

1 Overview. Standard Products Application Note 8-bit MSI & 16-bit Logic Products with Unused or Floating Logic Inputs

1 Overview. Standard Products Application Note 8-bit MSI & 16-bit Logic Products with Unused or Floating Logic Inputs Standard Products Application Note 8-bit MSI & 16-bit Logic Products with Unused or Floating Logic Inputs The most important thing we build is trust 1 Overview To avoid system-level problems in designs

More information

ACT S512K32 High Speed 16 Megabit SRAM Multichip Module

ACT S512K32 High Speed 16 Megabit SRAM Multichip Module ACT S512K32 High Speed 16 Megabit SRAM Multichip Module Features 4 Low Power CMOS 512K x 8 SRAMs in one MCM Factory configured as 512K x 32; User configurable as 1M x 16 or 2M x 8 Input and Output TTL

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

Semiconductor Solutions. HiRel Product Short Form November Semiconductor Solutions. - Digital, Analog, and Power. For HiRel Applications

Semiconductor Solutions. HiRel Product Short Form November Semiconductor Solutions. - Digital, Analog, and Power. For HiRel Applications Semiconductor Solutions HiRel Product Short Form November 2017 Semiconductor Solutions - Digital, Analog, and Power For HiRel Applications Product Short Form Cobham Semiconductor Solutions (formerly Aeroflex)

More information

Mixed Signal ICs for Space

Mixed Signal ICs for Space Power Matters. TM Mixed Signal ICs for Space Microsemi Space Forum 2015 Dorian Johnson Product Marketing Manager High Reliability ICs 1 New Products Legacy Custom Mixed Signal ICs for Space SSM Telemetry

More information

Obsolescence Solutions

Obsolescence Solutions Obsolescence Solutions Strategic Obsolescence Management Sales Office North Robert-Bosch-Strasse 25 25335 Elmshorn Germany phone: +49-4121-463-900 fax: +49-4121-463-901 e-mail: schroeder@kamaka.de Headquarters

More information

Semiconductor Solutions. HiRel Product Short Form January Semiconductor Solutions. - Digital, Analog, and Power. For HiRel Applications

Semiconductor Solutions. HiRel Product Short Form January Semiconductor Solutions. - Digital, Analog, and Power. For HiRel Applications Semiconductor Solutions HiRel Product Short Form January 2018 Semiconductor Solutions - Digital, Analog, and Power For HiRel Applications Product Short Form Cobham Semiconductor Solutions (formerly Aeroflex)

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

ASICs Digital and Mixed-Signal

ASICs Digital and Mixed-Signal ASICs Digital and Mixed-Signal Brochure January 2018 Digital and Mixed-Signal custom, semi custom, off-the-shelf designs with Cobham Gaisler IP Guaranteed radiation performance QML-V, QML-Q, QML-Y, military,

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

.200 Ht 60 lead, flat-pack, W x 1.59 L x.147 Ht OPERATIONAL ENVIRONMENT Temperature Range: -55 C to +125 C

.200 Ht 60 lead, flat-pack, W x 1.59 L x.147 Ht OPERATIONAL ENVIRONMENT Temperature Range: -55 C to +125 C MIL-STD-1553 CT1820 Data Terminal Bit Processor For MIL-STD 1553 A&B Released Datasheet September 30 th, 2016 The most important thing we build is trust FEATURES Operates from +5VDC @ 50mA 300mW typical

More information

Control System Implementation

Control System Implementation Control System Implementation Hardware implementation Electronic Control systems are also: Members of the Mechatronic Systems Concurrent design (Top-down approach?) Mechanic compatibility Solve the actual

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

This presentation will..

This presentation will.. Component Identification: Digital Introduction to Logic Gates and Integrated Circuits Digital Electronics 2014 This presentation will.. Introduce transistors, logic gates, integrated circuits (ICs), and

More information

SpaceWire 101. Webex Seminar. February 15th, 2006

SpaceWire 101. Webex Seminar. February 15th, 2006 SpaceWire 101 Webex Seminar February 15th, 2006 www.aeroflex.com/spacewire SpaceWire 101 What is SpaceWire Protocol, Links, Basic Communication Architecture Physical Layer Interface and Network Components

More information

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Intro to Logic Gates & Datasheets. Digital Electronics

Intro to Logic Gates & Datasheets. Digital Electronics Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

ACT-S128K32 High Speed 4 Megabit SRAM Multichip Module

ACT-S128K32 High Speed 4 Megabit SRAM Multichip Module CT-S128K32 High Speed 4 Megabit SRM Multichip Module Features 4 Low Power CMOS 128K x 8 SRMs in one MCM Overall configuration as 128K x 32 Input and Output TTL Compatible 17, 20, 25, 35, 45 & 55ns ccess

More information

UT54LVDS218 Deserializer Data Sheet September, 2015

UT54LVDS218 Deserializer Data Sheet September, 2015 Standard Products UT54LVDS218 Deserializer Data Sheet September, 2015 The most important thing we build is trust FEATURES 15 to 75MHz shift clock support 50% duty cycle on receiver output clock Low power

More information

Electronic Control systems are also: Members of the Mechatronic Systems. Control System Implementation. Printed Circuit Boards (PCBs) - #1

Electronic Control systems are also: Members of the Mechatronic Systems. Control System Implementation. Printed Circuit Boards (PCBs) - #1 Control System Implementation Hardware implementation Electronic Control systems are also: Members of the Mechatronic Systems Concurrent design (Top-down approach?) Mechanic compatibility Solve the actual

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

ericssonz LBI-38616B MAINTENANCE MANUAL FOR MTD TM SERIES AND DATA RADIO LOGIC BOARD 19D902151G3 DESCRIPTION CIRCUIT ANALYSIS TABLE OF CONTENTS

ericssonz LBI-38616B MAINTENANCE MANUAL FOR MTD TM SERIES AND DATA RADIO LOGIC BOARD 19D902151G3 DESCRIPTION CIRCUIT ANALYSIS TABLE OF CONTENTS MAINTENANCE MANUAL FOR MTD TM SERIES AND DATA RADIO LOGIC BOARD 19D902151G3 TABLE OF CONTENTS Page DESCRIPTION............................................. Front Cover CIRCUIT ANALYSIS..........................................

More information

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C DIGITAL SYSTEM Technology Overview Rev C 01-05-2016 Insert Full Frame Product Picture Here 2015 KEY FEATURES DIGITAL PROCESSING SYSTEM FOR INDUSTRIAL & TONNE UE SYSTEM DIGITAL PROCESSING SYSTEM FOR MICRO

More information

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions (NOTE - FAQs WILL BE UPDATED ON A REGULAR BASIS) Introduction: QuickLogic has licensed their metal-to-metal Vialink TM technology

More information

HCC40xxx, HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features

HCC40xxx, HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features HCC40xxx, HCC45xxx Rad-hard, high voltage, CMOS logic series Description Datasheet - production data The HCC40xxx and HCC45xxx series are composed of 70 types of high voltage CMOS functions, offering a

More information

HCC40xxx HCC45xxx Rad-hard high voltage CMOS logic series Features Description

HCC40xxx HCC45xxx Rad-hard high voltage CMOS logic series Features Description HCC40xxx HCC45xxx Rad-hard high voltage CMOS logic series Features 3 to 20 V max operating voltage Bufferized inputs and outputs Standardized symmetrical outputs characteristic 50 ns typical propagation

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR)

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) 0 QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) DS062 (v3.1) November 5, 2001 0 2 Preliminary Product Specification Features XQ1701L/XQR1701L QML Certified Configuration

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

PMC429-4/8/16/32 Hardware Manual

PMC429-4/8/16/32 Hardware Manual PMC429-4/8/16/32 Hardware Manual 4/8/16/32 Channel Conduction Cooled ARINC429 Module for PMC November 2014 V02.00 Rev. C PMC429-4/8/16/32 Hardware Manual 4/8/16/32 Channel Conduction Cooled ARINC429 Module

More information

Command & Data Handling. By: Justin Hadella Brandon Gilles

Command & Data Handling. By: Justin Hadella Brandon Gilles Command & Data Handling By: Justin Hadella Brandon Gilles Outline Design Goals Requirements System Layout Processor Considerations Baseline Design Current Development 2 Design Goals 1 Watt Operational

More information

UT54LVDM328 Octal 400 Mbps Bus LVDS Repeater Data Sheet August, 2002

UT54LVDM328 Octal 400 Mbps Bus LVDS Repeater Data Sheet August, 2002 Standard Products UT54LVDM328 Octal 400 Mbps Bus LVDS Repeater Data Sheet August, 2002 FEATURES 400.0 Mbps low jitter fully differential data path 200MHz clock channel 3.3 V power supply 10mA LVDS output

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 Rugged 6U VME Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Selection Information FAST/LS TTL FAST AND LS TTL

Selection Information FAST/LS TTL FAST AND LS TTL election Information FT/L TTL 1 FT ND L TTL GENERL INFORMTION TTL in Perspective ince its introduction, TTL has become the most popular form of digital logic. It has evolved from the original gold-doped

More information

CDN503 HIGH DENSITY I/O ADAPTER USER GUIDE

CDN503 HIGH DENSITY I/O ADAPTER USER GUIDE CDN503 HIGH DENSITY I/O ADAPTER USER GUIDE 13050301 (c) Copyright DIP Inc., 1996 DIP Inc. P.O. Box 9550 MORENO VALLEY, CA 92303 714-924-1730 CONTENTS DN503 PRODUCT OVERVIEW 1 DN503 INSTALLATION 1 POWER

More information

Power Matters. Antifuse Product Information Brochure

Power Matters. Antifuse Product Information Brochure Power atters. Antifuse Product Information Brochure Providing industry-leading FPGAs and SoCs for applications where security is vital, reliability is non-negotiable and power matters. 2 www.microsemi.com/fpga-soc

More information

Control Devices Surface Mount Input-Limiting Diode Element

Control Devices Surface Mount Input-Limiting Diode Element GC4212-6LP Datasheet Control Devices Surface Mount Input-Limiting Diode Element Released December 2017 Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Product Overview... 2 2.1 Applications...

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

79LV2040B. 20 Megabit (512K x 40-Bit) Low Low Voltage EEPROM MCM. Memory FEATURES: DESCRIPTION: Logic Diagram

79LV2040B. 20 Megabit (512K x 40-Bit) Low Low Voltage EEPROM MCM. Memory FEATURES: DESCRIPTION: Logic Diagram 79LV24B 2 Megabit (512K x 4-Bit) Low Low Voltage EEPROM MCM FEATURES: 512k x 4-bit EEPROM MCM RAD-PAK radiation-hardened agait natural space radiation Total dose hardness: - >1 krad (Si) - Dependent upon

More information

PCI to SH-3 AN Hitachi SH3 to PCI bus

PCI to SH-3 AN Hitachi SH3 to PCI bus PCI to SH-3 AN Hitachi SH3 to PCI bus Version 1.0 Application Note FEATURES GENERAL DESCRIPTION Complete Application Note for designing a PCI adapter or embedded system based on the Hitachi SH-3 including:

More information

DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING

DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING Third Semester Subject: Digital Electronics & Introduction to Microprocessors Contact Hrs/Week:4 Hrs Contact

More information

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1 Module 1 Introduction Version 2 EE IIT, Kharagpur 1 Lesson 3 Embedded Systems Components Part I Version 2 EE IIT, Kharagpur 2 Structural Layout with Example Instructional Objectives After going through

More information

AT28C K (32K x 8) Paged CMOS E 2 PROM. Features. Description. Pin Configurations

AT28C K (32K x 8) Paged CMOS E 2 PROM. Features. Description. Pin Configurations AT28C256 Features Fast Read Access Time - 150 ns Automatic Page Write Operation Internal Address and Data Latches for 64-Bytes Internal Control Timer Fast Write Cycle Times Page Write Cycle Time: 3 ms

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

Microprocessors/Microcontrollers

Microprocessors/Microcontrollers Microprocessors/Microcontrollers A central processing unit (CPU) fabricated on one or more chips, containing the basic arithmetic, logic, and control elements of a computer that are required for processing

More information

EMBEDDED SYSTEMS COURSE CURRICULUM

EMBEDDED SYSTEMS COURSE CURRICULUM On a Mission to Transform Talent EMBEDDED SYSTEMS COURSE CURRICULUM Table of Contents Module 1: Basic Electronics and PCB Software Overview (Duration: 1 Week)...2 Module 2: Embedded C Programming (Duration:

More information

28LV Megabit (128K x 8-Bit) EEPROM. Memory DESCRIPTION: FEATURES: 28LV011. Logic Diagram

28LV Megabit (128K x 8-Bit) EEPROM. Memory DESCRIPTION: FEATURES: 28LV011. Logic Diagram 28LV11 1 Megabit (128K x 8-Bit) EEPROM V CC V SS High Voltage Generator I/O I/O7 RDY/Busy RES OE I/O Buffer and Input Latch CE WE Control Logic Timing RES 28LV11 A A6 Y Decoder Y Gating A7 Address Buffer

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types CSCI 4717/5717 Computer Architecture Topic: Internal Memory Details Reading: Stallings, Sections 5.1 & 5.3 Basic Organization Memory Cell Operation Represent two stable/semi-stable states representing

More information

Next generation RadHard MOSFETs

Next generation RadHard MOSFETs Next generation RadHard MOSFETs SPWG 2017 Andrew.Popp@IRHiRel.com - Space Products Marketing IR HiRel Design & Manufacturing Facilities El Segundo, CA Temecula, CA MIL-PRF-19500 Class S qualified for wafer

More information

PK2200 Series. Features. C-Programmable Controller. Specifications Board Size Enclosure Size Operating Temp.

PK2200 Series. Features. C-Programmable Controller. Specifications Board Size Enclosure Size Operating Temp. C-Programmable Controller P00 Series The P00 Series of C-programmable controllers is based on the Zilog Z80 microprocessor. The P00 includes digital, serial, and high-current switching interfaces. The

More information

Figure 1. Block Diagram. Cobham Semiconductor Solutions Aeroflex.com/Memories Version

Figure 1. Block Diagram. Cobham Semiconductor Solutions Aeroflex.com/Memories Version Standard Products UT8R1M39 40Megabit SRAM MCM UT8R2M39 80Megabit SRAM MCM UT8R4M39 160Megabit SRAM MCM Data Sheet December 2015 The most important thing we build is trust FEATURES 20ns Read, 10ns Write

More information

Aeroflex UTMC Product Errata

Aeroflex UTMC Product Errata INST Pin Failure Following DMA Sequences with the UT80CXX196KD Table 1: Cross Reference of Affected Product Product Name: SMD #: Device Type: Internal PIC Number: UT80CRH196KD 5962R98583 01 JD02A through

More information

CREATED BY M BILAL & Arslan Ahmad Shaad Visit:

CREATED BY M BILAL & Arslan Ahmad Shaad Visit: CREATED BY M BILAL & Arslan Ahmad Shaad Visit: www.techo786.wordpress.com Q1: Define microprocessor? Short Questions Chapter No 01 Fundamental Concepts Microprocessor is a program-controlled and semiconductor

More information

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Lecture (4) Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Prof. Kasim M. Al-Aubidy Philadelphia University-Jordan DERTS-MSc, 2015 Prof. Kasim Al-Aubidy 1 Lecture Outline:

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

AC : INFRARED COMMUNICATIONS FOR CONTROLLING A ROBOT

AC : INFRARED COMMUNICATIONS FOR CONTROLLING A ROBOT AC 2007-1527: INFRARED COMMUNICATIONS FOR CONTROLLING A ROBOT Ahad Nasab, Middle Tennessee State University SANTOSH KAPARTHI, Middle Tennessee State University American Society for Engineering Education,

More information

EE4380 Microprocessor Design Project

EE4380 Microprocessor Design Project EE4380 Microprocessor Design Project Fall 2002 Class 1 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Introduction What is a Microcontroller? Microcontroller

More information

Memory Expansion. Lecture Embedded Systems

Memory Expansion. Lecture Embedded Systems Memory Expansion Lecture 22 22-1 In These Notes... Memory Types Memory Expansion Interfacing Parallel Serial Direct Memory Access controllers 22-2 Memory Characteristics and Issues Volatility - Does it

More information

Table of Contents. Introductory Material

Table of Contents. Introductory Material Table of Contents Introductory Material 0.1 Equipment Intoduction 1 breadboard area stimulator board 2 The Board of Education The TDS 340 oscilloscope 0.2 Getting Started with the Micro-controller The

More information

AMC data sheet. PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems

AMC data sheet.  PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems AIM-USA PMC Module with four bus Nodes ARINC825 compliant for Testing & Simulation of Avionic bus Systems www.aim-online.com Avionics Databus Solutions data sheet product guide www.aim-online.com and IRIG-B

More information

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor 1 2 A microprocessor is a single, very-large-scale-integration (VLSI) chip that contains many digital circuits that perform arithmetic, logic, communication, and control functions. When a microprocessor

More information

Design Migration from the RT54SX32 to the RT54SX32S Device

Design Migration from the RT54SX32 to the RT54SX32S Device Application Note AC149 Design Migration from the RT54SX32 to the RT54SX32S Device Actel s RT54SX-S family of FPGAs is designed specifically for space applications. Although architecturally related to the

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

Lab 16: Data Busses, Tri-State Outputs and Memory

Lab 16: Data Busses, Tri-State Outputs and Memory Lab 16: Data Busses, Tri-State Outputs and Memory UC Davis Physics 116B Rev. 0.9, Feb. 2006 1 Introduction 1.1 Data busses Data busses are ubiquitous in systems which must communicate digital data. Examples

More information

XE 900: Fastest EPIC board now available with Windows XPe

XE 900: Fastest EPIC board now available with Windows XPe XE 900: Fastest EPIC board now available with Windows XPe The XE 900 SBC is a high performance, low power, x86 workhorse for embedded applications. It is an EPIC form factor SBC with a rich family of I/O

More information

DSP-BASED MOTOR CONTROLLER FOR THREE-PHASE BRUSHLESS DC MOTORS

DSP-BASED MOTOR CONTROLLER FOR THREE-PHASE BRUSHLESS DC MOTORS DSP-BASED MOTOR CONTROLLER FOR THREE-PHASE BRUSHLESS DC MOTORS FEATURES / BENEFITS Embedded Motor Control DSP (ADMCF328) improves higher level system integration and flexibility 7A phase current (cycle-by-cycle

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

Quantum III. Compact DC Drive Package. Slitter DC Drive Package. Quantum III

Quantum III. Compact DC Drive Package. Slitter DC Drive Package. Quantum III Compact DC Drive Package The delivers a DC drive package that integrates the intelligence of the Mentor II with a space saving design that incorporates many accessories typically required in the North

More information

C901 PowerPC MPC7448 3U CompactPCI SBC

C901 PowerPC MPC7448 3U CompactPCI SBC C901 PowerPC MPC7448 3U CompactPCI SBC Rugged 3U CompactPCI SBC PowerPC 7448 @ 1.4 GHz, 1.0 GHz, or 600 MHz, with AltiVec Technology 166 MHz MPX Bus Marvell MV64460 Discovery TM III System Controller One

More information

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 Features RoHS 520MHz Low-power ARM processor w/ 800 x 600 Color LCD Power Over Ethernet and 10/100BASE-T Ethernet GPS module

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram 79C48 4 Megabit (512k x 8-bit) EEPROM MCM CE 1 CE 2 CE 3 CE 4 RES R/B WE OE 79C48 A -16 128K x 8 128K x 8 128K x 8 128K x 8 I/O -7 Logic Diagram FEATURES Four 128k x 8-bit EEPROMs MCM RAD-PAK radiation-hardened

More information

I/O Server Industrial PC

I/O Server Industrial PC M O N I T O R I N G & C O N T R O L S O L U T I O N S I/O Server Industrial PC Fanless Design Conduction-Cooled Integrated I/O A Compact, Rugged Industrial PC with Plug-in I/O Modules 8400-540d Acromag

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

256K (32K x 8) Paged Parallel EEPROMs AT28C256. Features. Description

256K (32K x 8) Paged Parallel EEPROMs AT28C256. Features. Description Features Fast Read Access Time - 150 ns Automatic Page Write Operation Internal Address and Data Latches for 64 Bytes Internal Control Timer Fast Write Cycle Times Page Write Cycle Time: 3 ms or 10 ms

More information

Introduction Overview Of Intel Packaging Technology

Introduction Overview Of Intel Packaging Technology 1 1.1 Overview Of Intel Packaging Technology As semiconductor devices become significantly more comple, electronics designers are challenged to fully harness their computing power. Transistor count in

More information

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing Microcontroller Systems ELET 3232 Topic 11: General Memory Interfacing 1 Objectives To become familiar with the concepts of memory expansion and the data and address bus To design embedded systems circuits

More information

DSP240-LPI Inverter Controller Card. Technical Brief

DSP240-LPI Inverter Controller Card. Technical Brief DSP240-LPI Inverter Controller Card Technical Brief September 2006 Manual Release 3.0 Card Revision 3.0 Copyright 2001-2006 Creative Power Technologies P.O. Box 714 MULGRAVE Victoria, 3170 Tel: +61-3-9543-8802

More information

AMC data sheet. PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems

AMC data sheet.   PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems data sheet PMC Module with four bus Nodes ARINC825 compliant for Testing & Simulation of Avionic bus Systems Avionics Databus Solutions product guide General Features The PCI Mezzanine Card (PMC) can work

More information

NOTIFICATION (Advt No. 1/2018) Syllabus (Paper III)

NOTIFICATION (Advt No. 1/2018) Syllabus (Paper III) NOTIFICATION (Advt No. 1/2018) Syllabus (Paper III) Post Code - 302 Area: Instrumentation COMPUTER PROGRAMMING AND APPLICATION 1. OVERVIEW OF PROGRAMMING: Steps in program development, problem identification,

More information

Features: Analog to Digital: 12 bit resolution TTL outputs, RS-232 tolerant inputs 4.096V reference (1mV/count) 115K max speed

Features: Analog to Digital: 12 bit resolution TTL outputs, RS-232 tolerant inputs 4.096V reference (1mV/count) 115K max speed The Multi-I/O expansion board gives users the ability to add analog inputs and outputs, UART capability (for GPS or modem) and isolated high current outputs to the Flashlite 386Ex. Available in several

More information

HCC40xxx HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features

HCC40xxx HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features HCC40xxx HCC45xxx Radhard, high voltage, CMOS logic series Datasheet production data Flat14 DIL14 50 ns typical propogation delays 100 na max 25 C input current 100 % tested 20 V quiescent current 51015

More information

AMC data sheet. PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems

AMC data sheet.   PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems data sheet PMC Module with four bus Nodes ARINC825 compliant for Testing & Simulation of Avionic bus Systems Avionics Databus Solutions product guide General Features The PCI Mezzanine Card (PMC) can work

More information

IDT54/74FCT541/A/C FAST CMOS OCTAL BUFFER/LINE DRIVER DESCRIPTION: FUNCTIONAL BLOCK DIAGRAM

IDT54/74FCT541/A/C FAST CMOS OCTAL BUFFER/LINE DRIVER DESCRIPTION: FUNCTIONAL BLOCK DIAGRAM FAST CMOS OCTAL BUFFER/LINE DRIVER IDT/7FCT/A/C FEATURES: IDT/7FCT equivalent to FAST speed and drive IDT/7FCTA % faster than FAST IDT/7FCTC up to % faster than FAST IOL = ma (commercial) and 8mA (military)

More information

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 Using MSI Logic To Build An Output Port Many designs use standard MSI logic for microprocessor expansion This provides an inexpensive

More information

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs V8-uRISC 8-bit RISC Microprocessor February 8, 1998 Product Specification VAutomation, Inc. 20 Trafalgar Square Nashua, NH 03063 Phone: +1 603-882-2282 Fax: +1 603-882-1587 E-mail: sales@vautomation.com

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information