16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

Size: px
Start display at page:

Download "16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA"

Transcription

1 16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 1 The proposed presentation explores the use of commercial processes, including deep-sub micron process technology, package technology, and COTS products in satellite and satellite launch vehicle applications. To support Aeroflex aggressive product development plans a fab independent business model was selected along with a mind set to leverage leading edge commercial technology in the development of products targeted for satellite applications. jose.martins@aeroflex.com 2

2 Aeroflex Fabless Business Model Technology Requirements Definition Foundry Relationships Device Characterization Library Development Customer Design Circuit Design / Chip Layout Inventory for: Standard Parts Generic Parts Foundry for: New / Future Parts ASICs Wafer Fabrication Circuit Card Assembly Die Packaging and Test Reliability, Failure Analysis Customer Support 3 Aeroflex Technology Roadmap Former UTMC Foundry UTB --- UTD/R --- UTE/R 3µ 1.5µ 1.2µ UTMC s GA/SA Architecture, Design Library, CAD System 3.3/5V Digital CMOS Mixed Signal (3.3/5V) NV (Floating Gate) 1.8/2.5/3.3V Digital CMOS Dense Embedded SRAM Mixed Signal (2.5/3.3V) NV (Flash, Anti-Fuse) UTMC s SA/SC Architecture, Design Library, CAD System 1.8/2.5/3.3V Digital CMOS Dense Embedded SRAM Inherent RadHard Process AMI / Hyundai Commercial Foundry 3.0µ, 1.2µ, 0.6µ, 0.35µ UTMC s SA/SC Architecture, Design Library, CAD System TSMC/WaferTech, Hyundai, NSA/SPL (Nat'l Semi) Commercial Foundry 0.25µ Commercial / Strategic RadHard Module & RHbyDesign TSMC/WaferTech, Hyundai Commercial Foundry 0.18µ GA - Gate Array SA - Structured Array SC - Standard Cell 4

3 Commercial RadHard Module Standard Commercial Process Bulk Wafer Start OP 62 OP 63 OP 64 Standard Acceptance Tests Epi Wafer Start Module Insertion Point OP 62 OP 63 Commercial RadHard Process OP 64 Standard Acceptance Tests MOD OP 1 MOD OP 2 Epi Starting Wafers Non-Invasive Process Module Standard Wafer Acceptance Testing 5 Commercial RadHard Passes > 300 krad(si) Id (A) 1.0E E E E E E E E E E E E-12 Standard AMI Lot X0.6 µm N-Channel Transistor FAIL Leakage Limit PASS Vg (V) Standard Transistor Fails ~3 krad(si) 0 krad 3 krad 10 krad 20 krad 30 krad Id (A) 1.0E E E E E E E E E E E E-12 Commercial RadHardTM Lot , 20X0.6 mm N-Channel Transistor FAIL Leakage Limit PASS 0 krad 50 krad 100 krad 150 krad 200 krad 300 krad 400 krad Vg (V) With Commercial RadHard, Transistor Passes > 300 krad(si) 6

4 Aeroflex UT0.6µm RadHard SEU 1.00E E-06 DFF Error X-Section / Bit (cm -2 ) 1.00E E E E-10 LDFF SDFF Adams 90% Worst Case Geo Error Rate Updated August 1999 DFF 5.0E-8 errors/bit-day LDFF 5.0E-9 errors/bit-day SDFF 1.0E-10 errors/bit-day SEL immune > 128MeV 1.00E LET (MeV-cm 2 /mg) 7 Aeroflex UT0.25µm RadHard SEU SEU Results 1.0E V, Room Temperature Error Cross-Section Per Bit (cm -2 ) 1.0E E E E E-10 DFF LDFF SDFF EDFF Adams 90% Worst Case Geo Error Rate: DFF 1.65E-8 errors/bit-day LDFF 6.74E-9 errors/bit-day SDFF 2.18E-9 errors/bit-day EDFF 1.59E-11 errors/bit-day 1.0E LET (MeV-cm 2 /mg) 8

5 0.25µm Fabless Business Model In Practice Test: Two Technology Characterization Vehicles (TCVs) Classified ASICs: 1.8M Gates, 1.95M Gates, 300K Gates Advance EHF Program Aeroflex Classified Services Radiation Hardness IC Design Expertise Assembly/Test Quality Assurance Wafer Personalization (metal NSA/SPL National Semiconductor TSMC 0.25µ Process Underlayers Test: Two Technology Characterization Vehicles (TCVs) ASICs: 500K Gates NPOESS Program Aeroflex Radiation Hardness IC Design Expertise Assembly/Test Quality Assurance TSMC 0.25µ Process 9 UT8R512K8 / UT8R128K32 SRAM µm Bulk CMOS 4Mbit SRAM Aeroflex design for low power, high speed, and SEU performance Organized as 512K x 8 and 128K x 32 15ns access time, asynchronous operation Both read and write Commercial architecture and compatible pin-out -55 o C to +125 o C LVCMOS inputs and outputs I/O Voltage: 2.5 to 3.3 volts Memory core: 1.8 volts 10

6 UT8R512K8 / UT8R128K32 SRAM µm Bulk CMOS Design Approach Full custom layout Spatial design rules added to the base process to mitigate Single Event Latch-up and improve Dose Rate Response Single Event Upset 12T Memory Cell Redundant latches and flip-flops Total Ionizing Dose CRH Module ( edged transistor design due to the required packing density) Fast Access Time and Low Power Consumption Commercial architecture and layout techniques Pulsed architecture along with 1.8 volt core 11 Aeroflex RadHard LVDS Family 12

7 UT54LVDS031 Quad Driver LVDS driver consists of a current source output which drives a closely-coupled (closelyspaced) differential pair of conductors 340mV nominal differential signals D IN1 D1 D OUT1+ D OUT1- D IN V CC D OUT D IN4 D OUT D OUT4+- D IN2 D2 D OUT2+ D OUT2- EN 4 13 D OUT4- UT54LVDS031 D OUT2-5 DRIVER 12 EN D OUT D OUT3- D IN3 D3 D OUT3+ D IN D OUT3+ D OUT3- GND 8 9 D IN3 D IN4 D4 D OUT4+ D OUT4- EN EN 13 UT54LVDS217/218 Serializer and Deserializer UT54LVDS217 converts 21 bits of CMOS data into three LVDS data streams A phase-locked transmit clock is transmitted in parallel with the data streams over a fourth LVDS link Every cycle of the transmit clock, 21 bits of input data are sampled and transmitted UT54LVDS218 receiver converts the LVDS data streams back into 21 bits of CMOS data CMOS Input (21) CMOS Parallel to LVDS D1 D2 D3 DATA (LVDS) (140 to 280 Mbps on each LVDS Channel) + R1 - + R1 - + R1 - LVDS to CMOS Parallel CMOS Ouput (21) Transmit Clock PLL D4 CLOCK (LVDS) (20 to 40 MHz) + R1 - PLL Receiver Clock UT54LVDS217 UT54LVDS218 14

8 Microcontrollers 15 UT80CRH196KDS 16-bit Rad-Hard CMOS microcontroller Built using Commercial RadHard TM process technology Total dose greater than 100Krads(Si) Single Event Latch-up Immune LET Threshold around 25MeV-cm 2 /mg Saturated Cross Section is cm 2 /bit Feature Addressable Memory Space Internal RAM (including SFRs) One Time Programmable ROM Maximum Operating Frequency UT80CRH196 64K Kbytes 1024 bytes 0 bytes 20 MHz 16

9 UT80CRH196KDS System Block Diagram UT80CRH /1773 Serial Port Logic Volatile Memory Non Volatile Memory 17 UT54ACS162245S Multipurpose Transceiver 18

10 UT54ACS162245S Multipurpose Transceiver Functional description 16 bit wide bi-directional bus driver Dual bus architecture Separate power and control for Port A and Port B Word (16 bits) and byte (8 bits) control Control signals are 2.5 Volt compatible, 3.3 Volt tolerant Total dose irradiation testing to MIL-STD-883 Method 1019 Intrinsic total dose: 1M rad(si) nominal (wafer lot specific) Latchup immune (LET > 100 MeV-cm 2 /mg) Packaging 48-lead flatpack 3.3V bus to 2.5V bus 2.5V bus to 3.3V bus 19 UT54ACS162245S Multipurpose Transceiver System Block Diagram VDD ON VDD ON 3.3 Volt System Processor Back-plane Interface Logic System Clock (Low Noise) Voltage Translator (3.3V/2.5V) (2.5V/3.3V) 2.5 Volt Memory System 20

11 Aeroflex QCOTS Program Program Goal The Aeroflex QCOTS program aims to bring leading edge, high performance commercial products (COTS) into the space community. By quantifying specific attributes of COTS products, building the product form a homogenous wafer lot of material, and performing in-depth electrical, structural, and radiation hardness assurance analyses, Aeroflex can offer a commercially designed and fabricated product with a quality on par with traditional S level components. 21 Aeroflex QCOTS Program The Approach Quantify (Q) specific attributes of Commercial Off-The-Shelf Product (COTS) for use in spaceborne electronics. Build product out of a homogenous wafer lot of material Guarantee immunity to charge particle induced latchup (>100MeVcm 2 /mg) and quantify charge particle induced upset LET and crosssection on every wafer lot. Guarantee a minimum of 90/90 survival probability to ionizing dose on every wafer lot. Demonstrate reliability for space mission applications (requirement of <10FITs with <1FITs possible). Wafer lot specific life testing, same as QML V (0% PDA, Better than QML V) 22

12 Aeroflex QCOTS Program Benefits of Aeroflex s QCOTS Approach Single Event Latchup Immunity: Guaranteed and tested (on every wafer lot) by Aeroflex Single Event Upset: Quantified cross section and on-set LET evaluation, tested for every wafer lot Total Dose: Wafer lot qualification performed by Aeroflex Reliability: Wafer lot FIT rate evaluation using proven accelerated life-test techniques, 0% PDA allowed for shippable units Manufacturing Flow: Built by Aeroflex, an industry leader in QML manufacturing techniques Standard Product: Sold to an Standard Microcircuit Drawing (SMD) 23 UTXQ512 4M SRAM 4Mbit density Organized 512K x 8 100ns (X=7) and 25ns (X=8 or X=9) access time, asynchronous operation Commercial architecture and compatible pin-out TTL inputs and outputs (100ns, X=7) TTL inputs and outputs (25ns, X=8 or X=9) CMOS outputs (i.e., low and high current specifications) 5 volt operation (100ns product, X=7) - SMD Inputs are not 3.3 volt compatible 3.3 volt operation (25ns product, X=8) - SMD Inputs are not 5 volt tolerant 5 volt operation (25ns product, X=9) - SMD Inputs are not 3.3 volt tolerant Available in military and industrial temperature ranges 24

13 UTXQ512 4M SRAM 25 UT9Q512 4M SRAM Total dose irradiation testing to MIL-STD-883 Method 1019 Intrinsic total-dose: 50K rad(si) nominal (wafer lot specific) Space environment shields to greater than 100Krad(Si) 36-lead surface mount patented UTMC shielded package only SEL Immune >80 MeV-cm 2 /mg (3 per lot testing) LET TH (0.25) ~10 MeV-cm 2 /mg Saturated Cross Section (cm 2 ) per bit ~5.0E-9 <1E-8 error per bit-day (Adams 90% worst case, geosynchronous) 26

14 UT8Q1024K8 8M SRAM 27 UT8Q1024K8 8M SRAM Packaging 44-lead dual cavity ceramic flatpack 4.6 grams Single 3.3+/-10% volt supply (25ns) Average Operating Current, 100% duty cycle, 1MHz Read/Write cycle < 125mA Average Operating Current, 100% duty cycle, 40MHz Read/Write cycle < 180mA CMOS Stand-By Current (Post-Rad, nominal conditions) < 6mA 28

15 UTXQ512K32 16M SRAM MCM 29 UTXQ512K32 SRAM MCM MCM contains four (4) 512K x 8 industrystandard asynchronous SRAMs; the control architecture allows operation as 8, 16, 24, or 32-bit data width TTL inputs and outputs (25ns, X=8 or X=9) CMOS outputs (i.e., low and high current specifications) 3.3 volt operation (25ns product, X=8) Inputs are not 5 volt tolerant 5 volt operation (25ns product, X=9) Inputs are not 3.3 volt tolerant Available in MIL-TEMP and Industrial temperature ranges 30

16 Technology Summary Aeroflex continues to meet our customer s RadHard requirements through commercial foundry technology 6 th year of QML production using our minimally invasive process changes for Commercial and Strategic RadHard environments (0.6µ -AMIS) FIT rates now below 2 RHbyDesign, taking advantage of the inherently radiation hardened characteristics present in commercial submicron processes today (0.25µ TSMC) Exploring 0.13µ RHbyDesign using third party standard cell libraries and commercial foundries Aeroflex s Fab Independent Business Model: Continues to supply needed technologies - mitigating last-time-buy decisions (3.0m, 1.5m, 1.2m, 0.8m; 5V & 3.3V) while delivering Leading-edge, Deep Submicron, Rad-Hard Technology without significant capital equipment investments 31

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

Figure 1. Block Diagram. Cobham Semiconductor Solutions Aeroflex.com/Memories Version

Figure 1. Block Diagram. Cobham Semiconductor Solutions Aeroflex.com/Memories Version Standard Products UT8R1M39 40Megabit SRAM MCM UT8R2M39 80Megabit SRAM MCM UT8R4M39 160Megabit SRAM MCM Data Sheet December 2015 The most important thing we build is trust FEATURES 20ns Read, 10ns Write

More information

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions (NOTE - FAQs WILL BE UPDATED ON A REGULAR BASIS) Introduction: QuickLogic has licensed their metal-to-metal Vialink TM technology

More information

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. ESA-CNES Deep Sub-Micron program ST 65nm Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. Agenda 2 Presentation DSM 65nm challenges DSM 65nm Supply-chain actors ESA-CNES 65nm Program

More information

ASICs Digital and Mixed-Signal

ASICs Digital and Mixed-Signal ASICs Digital and Mixed-Signal Brochure January 2018 Digital and Mixed-Signal custom, semi custom, off-the-shelf designs with Cobham Gaisler IP Guaranteed radiation performance QML-V, QML-Q, QML-Y, military,

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

UT54LVDS218 Deserializer Data Sheet September, 2015

UT54LVDS218 Deserializer Data Sheet September, 2015 Standard Products UT54LVDS218 Deserializer Data Sheet September, 2015 The most important thing we build is trust FEATURES 15 to 75MHz shift clock support 50% duty cycle on receiver output clock Low power

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram 79C48 4 Megabit (512k x 8-bit) EEPROM MCM CE 1 CE 2 CE 3 CE 4 RES R/B WE OE 79C48 A -16 128K x 8 128K x 8 128K x 8 128K x 8 I/O -7 Logic Diagram FEATURES Four 128k x 8-bit EEPROMs MCM RAD-PAK radiation-hardened

More information

VORAGO TECHNOLOGIES. Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies Privately held fabless semiconductor company headquartered

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

79LV2040B. 20 Megabit (512K x 40-Bit) Low Low Voltage EEPROM MCM. Memory FEATURES: DESCRIPTION: Logic Diagram

79LV2040B. 20 Megabit (512K x 40-Bit) Low Low Voltage EEPROM MCM. Memory FEATURES: DESCRIPTION: Logic Diagram 79LV24B 2 Megabit (512K x 4-Bit) Low Low Voltage EEPROM MCM FEATURES: 512k x 4-bit EEPROM MCM RAD-PAK radiation-hardened agait natural space radiation Total dose hardness: - >1 krad (Si) - Dependent upon

More information

UT54LVDM328 Octal 400 Mbps Bus LVDS Repeater Data Sheet August, 2002

UT54LVDM328 Octal 400 Mbps Bus LVDS Repeater Data Sheet August, 2002 Standard Products UT54LVDM328 Octal 400 Mbps Bus LVDS Repeater Data Sheet August, 2002 FEATURES 400.0 Mbps low jitter fully differential data path 200MHz clock channel 3.3 V power supply 10mA LVDS output

More information

VORAGO TECHNOLOGIES. 6 th Interplanetary CubeSat Workshop Cambridge, May, 2017

VORAGO TECHNOLOGIES. 6 th Interplanetary CubeSat Workshop Cambridge, May, 2017 VORAGO TECHNOLOGIES Radiation-hardened ARM Cortex -M0 Microcontroller for CubeSats / SmallSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies Privately held fabless semiconductor

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

HCC40xxx, HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features

HCC40xxx, HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features HCC40xxx, HCC45xxx Rad-hard, high voltage, CMOS logic series Description Datasheet - production data The HCC40xxx and HCC45xxx series are composed of 70 types of high voltage CMOS functions, offering a

More information

Obsolescence Solutions

Obsolescence Solutions Obsolescence Solutions Strategic Obsolescence Management Sales Office North Robert-Bosch-Strasse 25 25335 Elmshorn Germany phone: +49-4121-463-900 fax: +49-4121-463-901 e-mail: schroeder@kamaka.de Headquarters

More information

SEFUW workshop. Feb 17 th 2016

SEFUW workshop. Feb 17 th 2016 SEFUW workshop Feb 17 th 2016 NanoXplore overview French fabless company with two activities FPGA core IP High reliable FPGA devices Lead by FPGA industry experts with more than 25 years track records

More information

Mixed Signal ICs for Space

Mixed Signal ICs for Space Power Matters. TM Mixed Signal ICs for Space Microsemi Space Forum 2015 Dorian Johnson Product Marketing Manager High Reliability ICs 1 New Products Legacy Custom Mixed Signal ICs for Space SSM Telemetry

More information

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR)

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) 0 QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) DS062 (v3.1) November 5, 2001 0 2 Preliminary Product Specification Features XQ1701L/XQR1701L QML Certified Configuration

More information

HX5000 Standard Cell ASIC Platform

HX5000 Standard Cell ASIC Platform Standard Cell ASIC Platform GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell s fully QML Qualified 150nm CMOS Silicon on Insulator technology using a cell-based

More information

28LV Megabit (128K x 8-Bit) EEPROM. Memory DESCRIPTION: FEATURES: 28LV011. Logic Diagram

28LV Megabit (128K x 8-Bit) EEPROM. Memory DESCRIPTION: FEATURES: 28LV011. Logic Diagram 28LV11 1 Megabit (128K x 8-Bit) EEPROM V CC V SS High Voltage Generator I/O I/O7 RDY/Busy RES OE I/O Buffer and Input Latch CE WE Control Logic Timing RES 28LV11 A A6 Y Decoder Y Gating A7 Address Buffer

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

Description. EPPL (2) Target Temp. range. Notes: (1) SMD = standard microcircuit drawing. (2) EPPL = ESA preferred part list

Description. EPPL (2) Target Temp. range. Notes: (1) SMD = standard microcircuit drawing. (2) EPPL = ESA preferred part list Rad-hard LVDS serializer Datasheet - production data Features 15 to 75 MHz shift clock support Fail-safe function 8 kv HBM on LVDS pins Power-down mode < 216 µw (max) Cold sparing all pins Narrow bus reduces

More information

Packaging option: lead side-brazed dual cavity ceramic quad flatpack

Packaging option: lead side-brazed dual cavity ceramic quad flatpack Standard Products UT8ER1M32 32Megabit SRAM MCM UT8ER2M32 64Megabit SRAM MCM UT8ER4M32 128Megabit SRAM MCM Data Sheet May 2018 The most important thing we build is trust FEATURES 20ns Read, 10ns Write maximum

More information

QUALITY ASSURANCE FOR SPACE INSTRUMENTS BUILT WITH COTS

QUALITY ASSURANCE FOR SPACE INSTRUMENTS BUILT WITH COTS QUALITY ASSURANCE FOR SPACE INSTRUMENTS BUILT WITH COTS Peter Buch Guldager, Gøsta G. Thuesen, John Leif Jørgensen ØRSTED*DTU, Measurement and Instrumentation Systems, Building 327, Technical University

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

DATASHEET HCTS139MS. Pinouts. Features. Description. Ordering Information. Radiation Hardened Dual 2-to-4 Line Decoder/Demultiplexer

DATASHEET HCTS139MS. Pinouts. Features. Description. Ordering Information. Radiation Hardened Dual 2-to-4 Line Decoder/Demultiplexer DATASHEET HCTS139MS Radiation Hardened Dual 2-to-4 Line Decoder/Demultiplexer Rev X.00 Features Pinouts 3 Micron Radiation Hardened SOS CMOS Total Dose 200K RAD (Si) SEP Effective LET No Upsets: >100 MEV-cm

More information

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies VORAGO Technologies, Austin, Texas.

More information

79C Megabit (512K x 40-Bit) EEPROM MCM FEATURES: DESCRIPTION: Logic Diagram. 512k x 40-bit EEPROM MCM

79C Megabit (512K x 40-Bit) EEPROM MCM FEATURES: DESCRIPTION: Logic Diagram. 512k x 40-bit EEPROM MCM 79C24 2 Megabit (512K x 4-Bit) EEPROM MCM FEATURES: 512k x 4-bit EEPROM MCM RAD-PAK radiation-hardened agait natural space radiation Total dose hardness: - >1 krad (Si) - Dependent upon orbit Excellent

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

UT54LVDM228 Quad 2x2 400 Mbps Crosspoint Switch Data Sheet September, 2015

UT54LVDM228 Quad 2x2 400 Mbps Crosspoint Switch Data Sheet September, 2015 Standard Products UT54LVDM228 Quad 2x2 400 Mbps Crosspoint Switch Data Sheet September, 205 The most important thing we build is trust FEATURES 400.0 Mbps low jitter fully differential data path 200MHz

More information

DATASHEET HCS109MS. Features. Pinouts. Description. Ordering Information. Radiation Hardened Dual JK Flip Flop. FN2466 Rev 2.

DATASHEET HCS109MS. Features. Pinouts. Description. Ordering Information. Radiation Hardened Dual JK Flip Flop. FN2466 Rev 2. DATASHEET HCS109MS Radiation Hardened Dual JK Flip Flop FN2466 Rev 2.00 Features 3 Micron Radiation Hardened SOS CMOS Total Dose 200K RAD (Si) SEP Effective LET No Upsets: >100 MEV-cm 2 /mg Single Event

More information

UT8MR8M8 64Megabit Non-Volatile MRAM Datasheet July 2018

UT8MR8M8 64Megabit Non-Volatile MRAM Datasheet July 2018 Standard Products UT8MR8M8 64Megabit Non-Volatile MRAM Datasheet July 2018 The most important thing we build is trust FEATURES Single 3.3-V power supply Fast 50ns read/write access time Functionally compatible

More information

RHFLVDS217. Rad-hard LVDS serializer. Datasheet. Features. Description

RHFLVDS217. Rad-hard LVDS serializer. Datasheet. Features. Description Datasheet Rad-hard LVDS serializer Features 15 to 75 MHz shift clock support Fail-safe function 8 kv HBM on LVDS pins Power-down mode < 216 µw (max.) Cold sparing all pins Narrow bus reduces cable size

More information

The Essential Telemetry (ETM) ASIC A mixed signal, rad-hard and low-power component for direct telemetry acquisition and miniaturized RTU

The Essential Telemetry (ETM) ASIC A mixed signal, rad-hard and low-power component for direct telemetry acquisition and miniaturized RTU DUTH/SRL SPACE-ASICS The Essential Telemetry (ETM) ASIC A mixed signal, rad-hard and low-power component for direct telemetry acquisition and miniaturized RTU G. Kottaras 1, E. Sarris 2, A. Psomoulis 2,

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

UT8ER512K32 Monolithic 16M SRAM Data Sheet

UT8ER512K32 Monolithic 16M SRAM Data Sheet Standard Products UT8ER512K32 Monolithic 16M SRAM Data Sheet July 24, 2012 www.aeroflex.com/memories FEATURES 20ns Read, 10ns Write maximum access times Functionally compatible with traditional 512K x

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

QPro Virtex 2.5V Radiation-Hardened FPGAs Features Description

QPro Virtex 2.5V Radiation-Hardened FPGAs Features Description 17 QPro Virtex 2.5V Radiation-Hardened FPGAs Features 0.22 µm 5-layer epitaxial process QML certified Radiation-hardened FPGAs for space and satellite applications Guaranteed total ionizing dose to 100K

More information

INTRODUCTION Figure 1. Block Diagram. Cobham Semiconductor Solutions Aeroflex.com/Memories Version DQ[31:0] A[18:0] W G

INTRODUCTION Figure 1. Block Diagram. Cobham Semiconductor Solutions Aeroflex.com/Memories Version DQ[31:0] A[18:0] W G Standard Products UT8ER1M32 32Megabit SRAM MCM UT8ER2M32 64Megabit SRAM MCM UT8ER4M32 128Megabit SRAM MCM Data Sheet December 2015 The most important thing we build is trust FEATURES 20ns Read, 10ns Write

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

79LV Megabit (512K x 40-Bit) Low Low Voltage EEPROM MCM. Memory DESCRIPTION: FEATURES: Logic Diagram

79LV Megabit (512K x 40-Bit) Low Low Voltage EEPROM MCM. Memory DESCRIPTION: FEATURES: Logic Diagram 79LV24 2 Megabit (512K x 4-Bit) Low Low Voltage EEPROM MCM FEATURES: 512k x 4-bit EEPROM MCM RAD-PAK radiation-hardened agait natural space radiation Total dose hardness: - >1 krad (Si) - Dependent upon

More information

Total Ionizing Dose Test Report. No. 17T-RT3PE3000L-CG484-QMPWN

Total Ionizing Dose Test Report. No. 17T-RT3PE3000L-CG484-QMPWN Total Ionizing Dose Test Report No. 17T-RT3PE3000L-CG484-QMPWN March 24, 2017 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

Ensuring Power Supply Sequencing in Spaceflight Systems

Ensuring Power Supply Sequencing in Spaceflight Systems Ensuring Power Supply Sequencing in Spaceflight Systems Introduction In today's complex multi-rail power systems, power sequencing and fault monitoring are essential to boost the performance and the health

More information

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512)

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512) VORAGO TECHNOLOGIES Rad-hard CMOS Based Technology for Space Ross Bannatyne rbannatyne@voragotech.com (512) 550-2954 Space Parts Working Group Torrance, CA April 4-5th, 2017 VORAGO Technologies Privately

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform QPO High-eliability QML Certified and adiation Hardened Products for Aerospace and Defense Applications January 21, 2 (v2.) 7* The High-eliability Programmable Leader Xilinx is the leading supplier of

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Product Specification PE95421

Product Specification PE95421 Product Description The PE95421 is an RF SPDT switch available in a hermetically sealed ceramic package and also available in die. It covers a broad range of applications from 1-to-8500 MHz and has been

More information

28F K (256K x 8) FLASH MEMORY

28F K (256K x 8) FLASH MEMORY 28F020 2048K (256K x 8) FLASH MEMOR SmartDie Product Specification Flash Electrical Chip Erase 2 Second Typical Chip Erase Quick-Pulse Programming Algorithm 10 ms Typical Byte Program 4 Second Chip Program

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS UT840 LEON Quad Core First Silicon Results Cobham Semiconductor

More information

About using FPGAs in radiation environments

About using FPGAs in radiation environments About using FPGAs in radiation environments Tullio Grassi (FNAL / Univ. of MD) Motivations We may need some "glue logic" between the Front-End ASICs and the GBT (see talk from Chris Tully HB/HE Strawman

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

Product Specification PE95421

Product Specification PE95421 Product Description The PE95421 is an RF SPDT switch available in a hermetically sealed ceramic package and also available in die. It covers a broad range of applications from 1-to-8500 MHz and has been

More information

European LVDS Driver Development and ESCC Evaluation and Qualification

European LVDS Driver Development and ESCC Evaluation and Qualification European LVDS Driver Development and ESCC Evaluation and Qualification Aeroflex Gaisler AB Kungsgatan 12, SE-41119 Gothenburg, Sweden info@gaisler.com +46 31 775 86 50 1 Quick introduction ESA Contract

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

The Microelectronic Specialists. Product SHORT FORM

The Microelectronic Specialists. Product SHORT FORM The Microelectronic Specialists Product SHORT FORM January 2001 AEROFLEX UTMC STANDARD PRODUCTS Data Bus and Transceivers MIL-STD-1553B MIL-STD-1773 Multi-Protocol ±15V ±12V +5V UT69151 SµMMIT E 84,132

More information

TELEVISING MARS MISSIONS

TELEVISING MARS MISSIONS TELEVISING MARS MISSIONS Real-time Television Quality Full Motion Video for Mars Missions 8/8/00 1 John F. McGowan NASA Ames Research Center Mail Stop 233-18 Moffett Field, CA 94035-1000 (650) 604-0143

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM 0 8 Product Specification Features Latch-Up Immune to LET >120 MeV/cm2/mg Guaranteed TID of 50 krad(si) per spec 1019.5 Fabricated on Epitaxial

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns.

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns. High Density Interconnect Technology (HDI) for Chip Scale and Land Grid Array Packaging for Space Qualifiable Radiation Hardened Systems on a Chip Solutions Sasan Ardalan, Donald Elkins Microelectronics

More information

8051 INTERFACING TO EXTERNAL MEMORY

8051 INTERFACING TO EXTERNAL MEMORY 8051 INTERFACING TO EXTERNAL MEMORY Memory Capacity The number of bits that a semiconductor memory chip can store Called chip capacity It can be in units of Kbits (kilobits), Mbits (megabits), and so on

More information

DSM ASIC Technology & HSSL (KIPSAT)

DSM ASIC Technology & HSSL (KIPSAT) DSM ASIC Technology & HSSL (KIPSAT) Presented by L.Dugoujon ST/APM/MSH Microelectronics Presentation Days ESA/ESTEC 30March-01April 2010. 2 OUTLINE Need for DSM 65nm Key IPs KIPSAT project phases/status

More information

European LVDS driver development and ECSS evaluation and qualification

European LVDS driver development and ECSS evaluation and qualification European LVDS driver development and ECSS evaluation and qualification resenter: Jørgen Ilstad 18 th SpW working group SpW component development ESTEC 25/04/2012 ESA UCLASSIFIED For Official Use ECI phase

More information

RADPAL POWER-ON-RESET VDD RAMP RATE CHARACTERIZATION REPORT 4/24/98

RADPAL POWER-ON-RESET VDD RAMP RATE CHARACTERIZATION REPORT 4/24/98 RADPAL POWER-ON-RESET VDD RAMP RATE CHARACTERIZATION REPORT 4/24/98 Introduction The RADPAL can power up in a test mode rather than the user mode if there is a small residual voltage on VDD or if the VDD

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

High Reliability Electronics for Harsh Environments

High Reliability Electronics for Harsh Environments High Reliability Electronics for Harsh Environments Core Capabilities API Technologies is a world leader in the supply of microelectronic products and services supporting mission critical applications,

More information

RTG4 Enabled by Microsemi Power Technology Portfolio

RTG4 Enabled by Microsemi Power Technology Portfolio Power Matters. TM RTG4 Enabled by Microsemi Power Technology Portfolio Microsemi Space Forum 2015 Ken O Neill, Director of Marketing, Space and Aviation Brian Wilkinson, Sr Director Technical & Strategic

More information

SpaceWire 101. Webex Seminar. February 15th, 2006

SpaceWire 101. Webex Seminar. February 15th, 2006 SpaceWire 101 Webex Seminar February 15th, 2006 www.aeroflex.com/spacewire SpaceWire 101 What is SpaceWire Protocol, Links, Basic Communication Architecture Physical Layer Interface and Network Components

More information

HCC40xxx HCC45xxx Rad-hard high voltage CMOS logic series Features Description

HCC40xxx HCC45xxx Rad-hard high voltage CMOS logic series Features Description HCC40xxx HCC45xxx Rad-hard high voltage CMOS logic series Features 3 to 20 V max operating voltage Bufferized inputs and outputs Standardized symmetrical outputs characteristic 50 ns typical propagation

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Title Laser testing and analysis of SEE in DDR3 memory components

Title Laser testing and analysis of SEE in DDR3 memory components Title Laser testing and analysis of SEE in DDR3 memory components Name P. Kohler, V. Pouget, F. Wrobel, F. Saigné, P.-X. Wang, M.-C. Vassal pkohler@3d-plus.com 1 Context & Motivation Dynamic memories (DRAMs)

More information

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA Vlad-Mihai PLACINTA 1,2, Lucian Nicolae COJOCARIU 1, Florin MACIUC 1 1. Horia Hulubei National Institute for R&D in Physics and

More information

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Douglas Sheldon Harald Schone Historical FPGAs have been used in spacecraft for over 10 years.

More information

HX5000 ASIC Platform S150 (150 nm) ASICs

HX5000 ASIC Platform S150 (150 nm) ASICs ASIC Platform S150 (150 nm) ASICs GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell 150nm CMOS Silicon on Insulator technology using a cell-based library and advanced

More information

Radiation Tolerant FPGA Update

Radiation Tolerant FPGA Update Radiation Tolerant FPGA Update Ken O Neill Director of Marketing, Space and Aviation Microsemi SOC Group MRQW January 28, 2015 2015 Microsemi Corporation.. 1 Agenda Current Status In-Service Radiation

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Radiation Hardened by Design 8 bit RISC with Dual I2C Bus Support and SPI for External NVM Support

Radiation Hardened by Design 8 bit RISC with Dual I2C Bus Support and SPI for External NVM Support Radiation Hardened by Design 8 bit RISC with Dual I2C Bus Support and SPI for External NVM Support Sasan Ardalan, Donald Elkins, Will Burke 8102 Menaul Blvd NE, Albuquerque, NM, 87110; 505-294-1962 sasan.ardalan@micro-rdc.com

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

Rad Hard 16 MegaBit 3.3V SRAM Multi- Chip Module AT68166H

Rad Hard 16 MegaBit 3.3V SRAM Multi- Chip Module AT68166H Features 16 Mbit SRAM Multi Chip Module Allows 32-, 16- or 8-bit access configuration Operating Voltage: 3.3V + 0.3V Access Time 20 ns 18 ns Power Consumption Active: 620 mw per byte (Max) @ 18ns - 415

More information

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation FPGAs For SPACE APPLICATIONS 2012, Sept. 1 2012 Copyright Atmel Corporation 03/14/2012 Roadmap Legend Product Eng Sample Concept Eng Sample FM FM AT40K family ATF5000 ATF2500 ATFSee900 Next generation

More information

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 Radiation Group 1 I. Introduction This document disseminates recently acquired single-event-effects (SEE) data on the

More information

PARAMETER MIN TYP MAX UNIT COMMENTS TID krad SEL MeV cm 2 /mg SEE performance Err/Bit/day For a GEO orbit (TBC)

PARAMETER MIN TYP MAX UNIT COMMENTS TID krad SEL MeV cm 2 /mg SEE performance Err/Bit/day For a GEO orbit (TBC) ARQ-LVD001 RAD-HARD 500 Mbps Bus LVDS Quad Driver FEATURES 500.0 Mbps low jitter data path 3.3 V power supply Low Power Consumption 24 ma output driver short circuit (OUT+, OUT ) Cold sparing on all pins

More information

LEON- PCI- UMC Development Board

LEON- PCI- UMC Development Board LEON- PCI- UMC Development Board Test Report GAISLER RESEARCH / PENDER ELECTRONIC DESIGN Rev. 1.2, 2004-04- 02 LEON- PCI- UMC Development Board Test Report 2 Gaisler Resarch LEON- PCI- UMC Development

More information

Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP

Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP Jim Lipman, Sidense David New, Powervation 1 THE NEED FOR POWER MANAGEMENT SOLUTIONS WITH OTP MEMORY As electronic systems gain

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information