EDDA. Fredrik Jonsson. December 7, Electronic Design Description Automation

Size: px
Start display at page:

Download "EDDA. Fredrik Jonsson. December 7, Electronic Design Description Automation"

Transcription

1 EDDA Fredrik Jonsson December 7, 2007 CVS revision Electronic Design Description Automation The EDDA documentation system is a collection of scripts created to simplify the process of running ocean simulations and create design documentation. The system consists of two parts: 1. Skill scripts to be used in the Cadence OCEAN environment to launch simulations and log simulation results. 2. The PlainDoc script pddd (based on the pd2tex tool written by Sampo Kellomäki) to capture the simulation results into graphs and tables and create human readable design documentation (HTML and PDF documents). PlainDoc is a tool to convert text files having a to nicely formatted HTML and PDF documents. PlainDoc is powerfull enough to allows the user to create cross references, insert figures, tables and equations. An example EDDA design description may look like this. There are several advantages using the documentation system: Speed up documentation process. Simulation results are automatically compared to specification and inserted into the simulation document. This minimise the risk of human errors. Batch simulations over several corners can easily be simulated over night using scripts. 1

2 EDDA 1 ELECTRONIC DESIGN DESCRIPTION AUTOMATION Simple to update results. When a schematic is modified or model file is updated the simulation script can be relaunched and all new results are automatically inserted into the documents. Both HTML and PDF documents are automatically created for easy sharing of information within design group. This tutorial is written using PlainDoc by Fredrik Jonsson. You can view the source of this document here. If you have any comments or suggestions, please contact me at f jon@kth.se (1) Also, it would be interresting to know if you sucessfully used EDDA in a project 1.1 Download Click on this link to download EDDA. Extract the tar file using > tar -zxvf edda.tar.gz Copy the file edda/log_data.il to a directory where you store SKILL files, and put the file edda/pddd in your executable search path. The EDDA system use a number of Unix tools like Perl, LaTeX and image magick when creating the documents. Most of these tools are standard on common Linux distributions. If the tools are not available they have to be downloaded and installed separately. EDDA have succesfully been tested on sevral different Redhat, Fedora and Cygwin installations. 1.2 License The EDDA documentation system is created by Fredrik Jonsson. The EDDA version of PlainDoc is an extension of the PlainDoc tool created by Sampo Kellomäki. Information about PlainDoc can be found at EDDA is offered under the Gnu Public License (GPL). December 7, 2007 Fredrik Jonsson page 2

3 2 Using EDDA Below will follow a short overview how to run simulations and create design documentation using EDDA. In order to use the EDDA system it is assumed you are familiar with running Cadence simulations using Ocean. For information regarding how to configure these tools, please read the cadence documentation. To create a EDDA document from an existing Cadence simulation testbench we need to: 1. Create a documentation directory where the simulation files and documents will be generated 2. Create an OCEAN simulation script file and run the simulations 3. Create a PlainDoc document 4. Generate the HTML and PDF documents The following chapters will explain each step. 2.1 Directory structure Since the EDDA system generates a large number of files the simulations and documents are created in a special directory structure. An example directory looks like figure 1 Figure 1: Directory structure The doc_directory is the documentation directory. This directory can have any name and location. The.pd directory stores temporary information when running PlainDoc. December 7, 2007 Fredrik Jonsson page 3

4 corners store files defining the different simulation corners. html will contain the HTML output Simulations scripts are stored in testbench directories. The testbench directory contains the netlist and the Ocean scripts. In the testbech directory a subdirectory for each simulation corner will be created containing simulation results. A documentation directory can contain any number of testbenches, but each testbench directory can only have one netlist. The tex directory contains temporary data when creating a PDF document using LaTeX. Finally edda.pd is the document source file. The generated HTML and PDF documents will have the same name as the source file. The directory structure can be generated using the command: > pddd -init December 7, 2007 Fredrik Jonsson page 4

5 2.2 OCEAN file When running a cadence within the Virtuoso analog design environment you can create an OCEAN script using the menu command Session->Save Script... In order to use the OCEAN script in the EDDA environment you need to modify the script according to the following steps: 1. Move corner definitions to a corner file 2. Create a symbolic link to the netlist in the testbench directory 3. Remove information regarding simulator and result directory 4. Add commands to log simulation results The steps will be described in more detail below: A typical automatic generated OCEAN script can look like this: ocnwaveformtool( wavescan ) simulator( spectre ) design( "/home/fjon/simulation/simple/spectre/schematic/netlist/netlist") resultsdir( "/home/fjon/simulation/simple/spectre/schematic" ) modelfile( ("/pkg/designkits/umc/mm018/mm180_reg18_v123.lib.scs" "tt") ) analysis( ac?start "1k"?stop "100M" ) analysis( dc?saveoppoint t ) desvar( "Vsupply" 1.8 ) temp( 27 ) run() ACmag = db20(vf("/out")) plot( ACmag?expr ( "ACmag" ) ) Vdc = VDC("/out") plot( Vdc?expr ( "Vdc" ) ) This example script run an simple AC and a DC simulation and plots the result. We will now copy this file to the testbench directory and modify it to be compatible with EDDA. December 7, 2007 Fredrik Jonsson page 5

6 2.2.1 Corner file In order to use the script in the EDDA environment we first extract the corner definition. The corner definition files are located in the corners directory. The corner file can have any name, but the the name typ is reserved for the typical corner. Extracting the corner information from the example OCEAN file into a corner file. The final file corners/typ may look like this: modelfile( ("/pkg/designkits/umc/mm018/mm180_reg18_v123.lib.scs" "tt") ) desvar("vsupply" 1.8) temp( 27 ) Create symbolic link The sim script will look for a link to the netlist in the test bench directory. When not using EDDA the design will be loaded using the line design( "/home/fjon/simulation/simple/spectre/schematic/netlist/netlist") in the ocean script. The actual location of the design file of course depend on the cadence configuration and the user. The design definition must be removed from the script file and be replaced by a symbolic link. The symbolic link is created using the Unix command > cd testbench1 > ln -s home/fjon/simulation/simple/spectre/schematic/netlist/netlist. Don t forget the. at the end of the line Remove simulator and directory information The sim script automatically configures the simulator and results directory so this information can be removed from the scripts file. Remove the lines December 7, 2007 Fredrik Jonsson page 6

7 ocnwaveformtool( wavescan ) simulator( spectre ) design( "/home/fjon/simulation/simple/spectre/schematic/netlist/netlist") resultsdir( "/home/fjon/simulation/simple/spectre/schematic" ) from the script file. The sim script will use the directory /tmp/user/simulation/script to store simulation results, where USER and SCRIPT will be replaced by the user name and name of the script file Log simulation results The EDDA system have a set of skill functions to log simulation results. Scalar data can be stored using log_data and waveforms are stored using log_wave. Data from simulation loops can be combined to generate a waveform using log_data_point. The simulation results are stored as text files within the testbench directory. A subdirectory for each corner will be generated. To log the DC voltage and the AC response in the example ocean script we can use the following lines: log_data(vdc("/out") "Vdc") log_wave(db20(vf("/out")) "ACmag") If simulation is performed using these commands in the typ corner the files testbench1/typ/vdc and testbench1/typ/acmag will be created. Plot commands from the original ocean script can be removed. Now all the modifications to the script file is ready and the final script testbench1/script.ocn may look like this: analysis( ac?start "1k"?stop "100M" ) analysis( dc?saveoppoint t ) run() log_data(vdc("/out") "Vdc") log_wave(db20(vf("/out")) "ACmag") December 7, 2007 Fredrik Jonsson page 7

8 2.3 Running the simulation The simulation script are simulated using ocean. Start ocean within the testbench directory. > cd testbench1 > ocean Load the EDDA skill functions using > load "log_data.il" Now we can run the simulation using > sim "script.ocn" If we don t specify a corner the default corner "typ" will be used. To specify another corner we can type > sim "script.ocn" "fast" Assuming we have a cornerfile named "fast" in the corners directory. If the schematic is modified we recreate the netlist from Analog artist using the menu Simulation->Netlist->Recreate To rerun the simulation script using the previous script file and corner it is enough to type > sim A batch simulation simulating many corners can be created, for example by creating a file all containing the lines sim "script.ocn" "typ" sim "script.ocn" "fast" sim "script.ocn" "slow" and simulating this file using sim "all" will simulate over corners typ, fast and slow. December 7, 2007 Fredrik Jonsson page 8

9 2.4 Creating the simulation report The simulation results can be documented in a design document. The document is written in PlainDoc which is a simple text-file containing a few special "tags" to include simulation results, plots, equations, schematics etc Document structure In the document we first insert the document title: Example simulation ################## After this we insert information indicating the paper format, information about the author etc: <<class: article!a4paper,12pt>> <<author: Fredrik Jonsson>> Plain doc use a very simple yet powerful markup structure. Different headings are indicated by the way they are underlined: 1 Heading 1 =========== 1.1 Heading Heading 3 ~~~~~~~~~~~~~~~ The numbers at the beginning of the line are ignored when compiling the document, and sections are renumbered automatically. Lists is created by inserting a * or a number at the beginning of a line * Bulted list 1. Numbered list 2. Item 2 December 7, 2007 Fredrik Jonsson page 9

10 Bold italic and computer output is created by inserting *+ or around a word: *Bold* +italic+ and ~computer~ output. Verbatim text blocks Verbatim text is created by inserting a single space at the beginning of a line. Lines beginning with a % are commented out and will not be included in the output documents. For more detailed information about the PlainDoc syntax, please see the appendix or the PlainDoc documentation Inserting simulation results Simulation results are inserted using the tags data and plot. Scalar data is inserted into a table like table 1 Spec Result Parameter Min Typ Max Min Typ Max Unit Pass DC voltage slow f ast V using the data command: Table 1: Simulation result summary The slow and fast tags indicates in which corner the simulation result was found. To insert the DC voltage from the previous simulation we can use the following lines: <<data:simulation result summary:result1 dir=testbench1 Vdc,DC voltage,minmax,0.7,0.9,1.1,v,2 >> The first line <<data:simulation result summary:result1 December 7, 2007 Fredrik Jonsson page 10

11 specifies the beginning of a data section. The "Simulation result summary" is the caption of the table, and "result1" is a tag that can be used to reference the table. The next line dir=testbench1 specifies from which directory the results will be picked. If this line is omitted all directories will be scanned for simulation results. The line Vdc,DC voltage,minmax,0.7,0.9,1.1,v,2 specifies data, unit and limits according to the syntax: Variable, Caption, Spectype, Min, Typ, Max, Unit, Precision Variable is the name of the variable specified in script file to store the data. The data table can contain any number of variable specifications. Caption is a short text describing the variable. Spectype specifies how the results will be compared to the specification. Currently only the spectype minmax is allowed. min, typ, max is the specification. Simulation results will be compared to these values, and if outside the limit the label "FAIL" will be written in the Pass column. If a parameter have no specification the specification field can be left blank, however the comma signs must not be removed Unit specifies the unit of the parameters. Values are automatically scaled according to the unit prefix. If we for example specifies the unit to mv the simulated result will be multiplied by a factor 1000 before inserted into the table. Specification values are not scaled and should be specified in the correct unit, i.e. if the limit is 42mV we should use 42 in the specification. Precision specifies the numerical precision in the tabled. December 7, 2007 Fredrik Jonsson page 11

12 -5-10 ACmag:fast ACmag:slow ACmag:typ -15 gain [db] e+06 1e+07 1e+08 Frequency [Hz] Figure 2: AC gain Waveforms Plots like the one in figure 2 are inserted using the command plot The plots are created using gnuplot. By clicking on a plot in the HTML document a magnified version of the graph is presented. To plot the AC magnitude in the example simulation we use the following line: <<plot: set logscale x ACmag,AC gain,frequency [Hz],gain [db],linewidth 5 >> The syntax of the plot command is: waveform(s), Title, X label, Y label, Plot option waveform is the waveforms to be plotted. Title is the title of the plot December 7, 2007 Fredrik Jonsson page 12

13 X axis and Y axis is the labels on the x and y axis. The set and Plot option commands can be used to specify plot options in gnuplot. All Gnuplot set and unset commands can be used to control the graph. Another useful set command is set xrange [10:100] that can be used to control the range on the axis. For more details regarding available set commands, please refer to the Gnuplot documentation at Several plots can be specified in one plot statement by adding plot specifications. Set commands must be specified for each plot. Several waveforms can be plotted in the same graph by putting an & between the plot names. No space is allowed between the names. Specific simulation corners can be selected by putting the corner in parenthesis after the waveform name. The waveform caption can be specified by putting the caption within "". The corner is omitted from the caption if only simulation result from one corner is found. Example: The following example creates two plots. The first plot (Figure 3) have two waveforms, the first incluing data from the typ and slow corner and label it "Mag" and the second fast corner and label it "Gain fast". The second plot (Figure 4) is a zoomed in version haing only one wave from the slow corner. <<plot: set logscale x ACmag(typ slow)"mag"&acmag(fast)"gain fast",ac gain,freq,gain set logscale x set xrange [1e5:1e7] ACmag(slow)"Gain",AC gain,freq [Hz],gain [db] >> By default simulation data from all available corners and testbench directories are collected. You can specify a specific corner or directory by inserting dir and corners lines the same way as in the data table. If we only want the typ corner from testbench1 we can write: dir=testbench1 corners=typ December 7, 2007 Fredrik Jonsson page 13

14 -5-10 Mag:typ Mag:slow Gain fast gain e+06 1e+07 1e+08 Freq Figure 3: AC gain -6 Gain gain [db] e+06 1e+07 Freq [Hz] Figure 4: AC gain December 7, 2007 Fredrik Jonsson page 14

15 EDDA 3 EXAMPLE 2.5 Generating the HTML and PDF outputs The HTML and DPF outputs are generated using the command > pddd example.pd The HTML document by viewed by opening the file html/index.html in a web browser. The PDF document is copied both to the HTML directory and to the documentation directory. 3 Example An example EDDA design description may look like this. The document is generated using the following source file. December 7, 2007 Fredrik Jonsson page 15

16 EDDA 4 APPENDIX 4 Appendix 4.1 PlainDoc tags Design variables It is sometime useful to include design variables in the design documentation. Design variables are included using the command <<desvar:testbench1/script.ocn>> The command extracts lines containing desvar("variable" Value); Comment and creates a table Table 2: Variable Value comment Vsupply 1.8 Supply voltage c1 100p Parasitic load The variables are automatically extracted from an ocean script file. Only variable definitions printed at the beginning of a line will be included. This is in order to allow variables to be omitted from a table by simply adding a space in front of the variable name Log files Output log-files can be inserted using <<logfile:filename.txt>> The log file is formatted using typewriter type font. Long lines are broken in order to not overflow the paper. December 7, 2007 Fredrik Jonsson page 16

17 EDDA 4 APPENDIX Schematics Schematics are included using <<sch: Schematic (Library) >> where Schematic is the name of the schematic and Library is the library name. You currently have to specify the name of each schematic and library manually. Schematics should be printed using hierarchical print from cadence to the directory sch in the documentation directory. Plot the schematics without header and remember the last / when specifying the plot path Images Figures and images are inserted using the command <<img:image, position, size:caption>> where image is the name of the image without suffix specifying file type..eps,.png, and.jpg images are recognised automatically. The optional position and size are used to control the position and size of the image in the PDF output. Please consult the PlainDoc documentation for details. Caption is the caption of the image. Images can be referenced using the image name. Currently all images have to be located in the documentation directory, including images fron sub-directories do not work. Example: <<img:hotdogs.jpg,,3:hot dogs>> includes the file hotdogs.jpg from the documentation directory. The size "3" makes the image fill 1/3 of the page width in the PDF document. December 7, 2007 Fredrik Jonsson page 17

18 EDDA 4 APPENDIX Figure 5: Hot dogs Tables Tables are inserted using the table command: <<table:example table Title1 Title2 ======= ====== Text Value >> Will insert a table: Table 3: Example table Title1 Text Title2 Value Equations Equations are inserted using the eqn tag. Syntax: <<eqn:equation:tag>> equation is the equation definition using TeX syntax. There are numerous sources of information regarding TeX on the internet. one good starting point is tag is an optional tag in order to allow referencing of the equation. Example: December 7, 2007 Fredrik Jonsson page 18

19 EDDA 4 APPENDIX <<eqn:f_0=\frac{1}{2\pi\sqrt{l(c_0+c_{ext})}}:f_res>> Generates the equation 1 f 0 = 2π L(C 0 +C ext ) (2) References The see command is used to insert a reference < <see : tag> > The tag is replaced by the number of the figure, plot or table. Referencing is done by name matching, where the tag that have the closest match is used. If the match is not exact a warning is generated when generating the document but the reference is still valid. December 7, 2007 Fredrik Jonsson page 19

20 EDDA 4 APPENDIX 4.2 Skill functions The skill scripts are a collection of scripts to launch simulations and to log simulation results. These scripts are used as commands within an ocean script. Table 4 lists the available skill scripts. Table 4: Skill scripts Script Description Reference sim Launch a simulation log_data Log data point log_wave Log waveform log_data_point Creates waveform from data points log_data_gap Creates a gap in a waveform sim Load simulation script and run simulation. Syntax: sim "ocean_script" "corner" Example: > sim "test.ocn" "slow" The example above simulates the script test.ocn in corner slow. > sim "test.ocn" runs the simulation in the same corner as previous simulation. Default corner is typ. > sim repeats the simulation using previous script file and corner. December 7, 2007 Fredrik Jonsson page 20

21 EDDA 4 APPENDIX log_data Log single value data point. Syntax: log_data( data "filename" ) Data will be saved in the folder corresponding to the current simulation corner. Example: log_data(idc("/v0/plus") "Isupply") log_wave Log waveform data Syntax: log_wave( waveform "filename") Save waveform data to a file named filename. Data will be saved in the folder corresponding to the current simulation corner. Example: log_wave(vt("/vout") "Vout") log_data_point Save numerical data-point to waveform file Syntax: log_data_point(xdata ydata "filename") This function is useful in simulation loops, where each iteration creates a new data-point. Example: December 7, 2007 Fredrik Jonsson page 21

22 EDDA 5 CHANGE LOG foreach(vbias ( ) desvar("vbias" vbias) run() log_data_point(vbias value(db20(vf("/out")),10m) "ACgain10M_vs_bias") ) runs three simulations for vbias = 0.8, 0.9 and 1.0. A waveform ACgain10M_vs_bias is created log_data_gap Lifts the pen in a waveform. Syntax: log_data_gap(output) This is useful if the plot should not be a continues line. Example: log_data_gap("acgain10m_vs_bias") 4.3 Command line scripts pddd pddd is a pearl script to generate the HTML and PDF files from the PlainDoc document. pddd plaindoc.pd : Convert plaindoc.pd to PDF and HTML pddd -init : Creates simulation directories The pddd script is based on the PlainDoc system script pd2tex originally created by Sampo Kellomäki, but with several extensions and improvements. The modifications was initially only intended for personal use, but as the project grew I decided to make the modifications public. Since documents created for pddd are not fully compatible with pd2tex I decided to make pddd a branch of the PlainDoc project. The added features do currently not support DBX output. 5 Change log December 7, 2007 Fredrik Jonsson page 22

23 EDDA 5 CHANGE LOG Table 5: Change log Date Description rev User Image inclusion now possible from sub-directories 1.4 F Jonsson 16 December 7, 2007 Fredrik Jonsson page 23

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Vout vs Vin Tutorial Alfred Sargezi

More information

Experiment 0: Introduction to Cadence

Experiment 0: Introduction to Cadence UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE105 Lab Experiments Experiment 0: Introduction to Cadence Contents 1. Introduction...

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Alfred Sargezi & Zain Ali AMS Group - San Jose State

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

How To Plot Transconductance and Even More. By Ruida Yun

How To Plot Transconductance and Even More. By Ruida Yun How To Plot Transconductance and Even More By Ruida Yun g m /I d based methodology is preferred for short-channel length analog circuit design however there is no GUI support for this method in the current

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Analog Custom Design and Testing Using OCEAN Scripting in Cadence

Analog Custom Design and Testing Using OCEAN Scripting in Cadence Analog Custom Design and Testing Using OCEAN Scripting in Cadence Mostafa Rahimi Azghadi School of Electrical and Electronic Engineering, The University of Adelaide, Adelaide, SA 5005, Australia Email:

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Cadence Tips & Tricks

Cadence Tips & Tricks Cadence Tips & Tricks ALICIA KLINEFELTER ECE 3663, SPRING 2013 Outline 1. Using NXClient for Cadence 2. Cadence Setup 3. Schematic Labels 4. Exporting plots for presentations/papers/homework 5. Presenting

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

Cadence Analog Circuit Tutorial

Cadence Analog Circuit Tutorial Cadence Analog Circuit Tutorial Schematic Entry for Analog Designs- Passive Circuits (RLC Circuit) In this tutorial, we will build the circuit shown in figure 1 below, using the Cadence Composer tool.

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

Basic Analog Simulation in Cadence

Basic Analog Simulation in Cadence York University Department of Electrical Engineering and Computer Science EMIL Tutorial Series Tutorial #1 Basic Analog Simulation in Cadence In this tutorial we step through how to start Cadence (or at

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

Lab 1: Analysis of DC and AC circuits using PSPICE

Lab 1: Analysis of DC and AC circuits using PSPICE Lab 1: Analysis of DC and AC circuits using PSPICE 1. Objectives. 1) Familiarize yourself with PSPICE simulation software environment. 2) Obtain confidence in performing DC and AC circuit simulation. 2.

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Advanced Design System IFF Schematic Translation for Cadence

Advanced Design System IFF Schematic Translation for Cadence Advanced Design System 2001 IFF Schematic Translation for Cadence August 2001 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty

More information

ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017

ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017 ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017 You will spend most of your lab time using the Virtuoso design tools from Cadence Design Systems. Virtuoso

More information

PSpice with Orcad 10

PSpice with Orcad 10 PSpice with Orcad 10 1. Creating Circuits Using PSpice Tutorial 2. AC Analysis 3. Step Response 4. Dependent Sources 5. Variable Phase VSin Source Page 1 of 29 Creating Circuits using PSpice Start Orcad

More information

Simulation examples Chapter overview

Simulation examples Chapter overview Simulation examples 2 Chapter overview The examples in this chapter provide an introduction to the methods and tools for creating circuit designs, running simulations, and analyzing simulation results.

More information

EE 105 Microelectronic Devices & Circuits FALL 2018 C. Nguyen

EE 105 Microelectronic Devices & Circuits FALL 2018 C. Nguyen 1. Objective UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences HSPICE Tutorial The objective of this session is to give initial exposure to the

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

A Gentle Introduction to CMSC311 labs and CVS Or How I learned to use CVS in CMSC311. William Arbaugh September 2, 2004

A Gentle Introduction to CMSC311 labs and CVS Or How I learned to use CVS in CMSC311. William Arbaugh September 2, 2004 A Gentle Introduction to CMSC311 labs and CVS Or How I learned to use CVS in CMSC311 William Arbaugh September 2, 2004 This howto assumes that you already have scp and ssh installed on your computer. If

More information

DICE and LCFG Software Guidelines

DICE and LCFG Software Guidelines DICE and LCFG Software Guidelines by paul@dcs.ed.ac.uk DICE Computing Environment Project Division of Informatics University of Edinburgh 1 Introduction This document describes the standards to be used

More information

ECE112 - Lab 6. Purpose. Parts/tools needed: Make sure we have a properly working environment

ECE112 - Lab 6. Purpose. Parts/tools needed: Make sure we have a properly working environment ECE112 - Lab 6 Purpose Make sure everyone can access a Linux host Get further acquainted with Linux Create a spice netlist, run a simulation Create a lab report using Latex Parts/tools needed: Your laptop

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

IQReport Documentation

IQReport Documentation IQReport Documentation Version 1.14 April 17, 2019 1 Contents 1 Background IQReport... 3 1.1 Why not Rmarkdown?... 3 2 Installation and requirements... 4 2.1 Required third party software... 4 2.2 System

More information

Cadence Virtuoso Simulation of a pixel

Cadence Virtuoso Simulation of a pixel MEMS AND MICROSENSORS 2018/2019 Cadence Virtuoso Simulation of a pixel 11/12/2018 Giorgio Mussi giorgio.mussi@polimi.it Introduction In this lab, we will use Cadence Virtuoso to simulate a sub-array of

More information

Open Source Digitalization Application. Installation Manual

Open Source Digitalization Application. Installation Manual Open Source Digitalization Application Installation Manual Easyndexer by Raúl Diez This is version 1.1 of the Easyndexer manual. This work is licensed under the Creative Commons Attribution 3.0 Unported

More information

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page. Pspice Tutorial Create a new project and select Analog or Mixed A/D. Choose an appropriate project name and a path. A new window pop up with the Pspice project type, select Create a blank project and click

More information

EE 101 Lab 5 Fast Adders

EE 101 Lab 5 Fast Adders EE 0 Lab 5 Fast Adders Introduction In this lab you will compare the performance of a 6-bit ripple-carry adder (RCA) with a 6-bit carry-lookahead adder (CLA). The 6-bit CLA will be implemented hierarchically

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

Advanced Design System Netlist Exporter

Advanced Design System Netlist Exporter Advanced Design System 2002 Netlist Exporter February 2002 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with

More information

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Cadence Tutorial C: Simulating DC and Timing Characteristics 1 Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group Last updated by Patrick O Hara SS15 Document Contents Introduction

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last ECE 546 HOMEWORK No 10 Due Thursday, April 19, 2018 In this homework you will extract the pulse response of the given channel, extract the decision feedback equalization (DFE) coefficients to equalize

More information

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence.

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. (This might not be the best way, but it works!) 1) Realize

More information

Advanced Design System Netlist Exporter Setup

Advanced Design System Netlist Exporter Setup Advanced Design System 2002 Netlist Exporter Setup February 2002 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind

More information

Basic statistical operations

Basic statistical operations COSC 6397 Big Data Analytics Fundamental Analytics Edgar Gabriel Spring 2014 Basic statistical operations Calculating minimum, maximum, mean, median, standard deviation Data typically multi-dimensional

More information

S Pspice Hints 2014 Switch mode power supplies Page 1/11

S Pspice Hints 2014 Switch mode power supplies Page 1/11 Switch mode power supplies Page 1/11 PSpice hints. Here is some tips for PSpice simulator software. Capturing images You can use screenshots or windows snipping tool to capture images for you report. However,

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Working with Pages... 9 Edit a Page... 9 Add a Page... 9 Delete a Page Approve a Page... 10

Working with Pages... 9 Edit a Page... 9 Add a Page... 9 Delete a Page Approve a Page... 10 Land Information Access Association Community Center Software Community Center Editor Manual May 10, 2007 - DRAFT This document describes a series of procedures that you will typically use as an Editor

More information

Boltzmann Statistics REDOR (BS-REDOR) Data Analysis Guide

Boltzmann Statistics REDOR (BS-REDOR) Data Analysis Guide Boltzmann Statistics REDOR (BS-REDOR) Data Analysis Guide BS-REDOR manual version 1.0, pertaining to BS-REDOR version 1.0 12 July 2007 Melbourne, Australia BS-REDOR has been published: John D. Gehman,

More information

Previous versions supported SIMPLIS only. Now DVM has been enhanced to allow design verification using the SIMetrix simulator.

Previous versions supported SIMPLIS only. Now DVM has been enhanced to allow design verification using the SIMetrix simulator. RELEASE NOTES VERSION 6.1 O VERVIEW This document describes SIMetrix and SIMetrix/SIMPLIS version 6.1 DESIGN VERIFICATION MODULE SUPPORT FOR SIMETRIX Previous versions supported SIMPLIS only. Now DVM has

More information

Part I. Introduction to Linux

Part I. Introduction to Linux Part I Introduction to Linux 7 Chapter 1 Linux operating system Goal-of-the-Day Familiarisation with basic Linux commands and creation of data plots. 1.1 What is Linux? All astronomical data processing

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

Joomla! 2.5.x Training Manual

Joomla! 2.5.x Training Manual Joomla! 2.5.x Training Manual 1 Joomla is an online content management system that keeps track of all content on your website including text, images, links, and documents. This manual includes several

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

Working with GIT. Florido Paganelli Lund University MNXB Florido Paganelli MNXB Working with git 1/47

Working with GIT. Florido Paganelli Lund University MNXB Florido Paganelli MNXB Working with git 1/47 Working with GIT MNXB01 2017 Florido Paganelli Lund University florido.paganelli@hep.lu.se Florido Paganelli MNXB01-2017 - Working with git 1/47 Required Software Git - a free and open source distributed

More information

EXPERIMENT 1 INTRODUCTION TO MEMS Pro v5.1: DESIGNING a PIEZO- RESISTIVE PRESSURE SENSOR

EXPERIMENT 1 INTRODUCTION TO MEMS Pro v5.1: DESIGNING a PIEZO- RESISTIVE PRESSURE SENSOR EXPERIMENT 1 INTRODUCTION TO MEMS Pro v5.1: DESIGNING a PIEZO- RESISTIVE PRESSURE SENSOR 1. OBJECTIVE: 1.1 To learn and get familiar with the MEMS Pro environment and tools 1.2 To learn the basis of process

More information

A Mini Manual for the Spreadsheet Calculator Program SC. John E. Floyd University of Toronto

A Mini Manual for the Spreadsheet Calculator Program SC. John E. Floyd University of Toronto A Mini Manual for the Spreadsheet Calculator Program SC John E. Floyd University of Toronto January 4, 2002 1 Command and Input Modes At every point time SC is either in command mode or data input mode.

More information

University of Alberta

University of Alberta A Brief Introduction to MATLAB University of Alberta M.G. Lipsett 2008 MATLAB is an interactive program for numerical computation and data visualization, used extensively by engineers for analysis of systems.

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Creates a 1 X 1 matrix (scalar) with a value of 1 in the column 1, row 1 position and prints the matrix aaa in the command window.

Creates a 1 X 1 matrix (scalar) with a value of 1 in the column 1, row 1 position and prints the matrix aaa in the command window. EE 350L: Signals and Transforms Lab Spring 2007 Lab #1 - Introduction to MATLAB Lab Handout Matlab Software: Matlab will be the analytical tool used in the signals lab. The laboratory has network licenses

More information

Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation

Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation Introduction ENGN1600 will be using the Cadence Virtuoso software suite for its circuit design and SPICE components. Part of the

More information

1.0 Overview For content management, Joomla divides into some basic components: the Article

1.0 Overview For content management, Joomla divides into some basic components: the Article Joomla! 3.4.x Training Manual Joomla is an online content management system that keeps track of all content on your website including text, images, links, and documents. This manual includes several tutorials

More information

Introduction to MATLAB

Introduction to MATLAB Chapter 1 Introduction to MATLAB 1.1 Software Philosophy Matrix-based numeric computation MATrix LABoratory built-in support for standard matrix and vector operations High-level programming language Programming

More information

Cover Page. Oracle Report Parser System Administration Guide 10g Release 3 ( ) March 2007

Cover Page. Oracle Report Parser System Administration Guide 10g Release 3 ( ) March 2007 Cover Page Oracle Report Parser System Administration Guide 10g Release 3 (10.1.3.3.0) March 2007 Oracle Report Parser System Administration Guide, 10g Release 3 (10.1.3.3.0) Copyright 2007, Oracle. All

More information

Installation Manual Installation Manual for the Ansoft Designer v5.0 design kit version v1.0

Installation Manual Installation Manual for the Ansoft Designer v5.0 design kit version v1.0 for the Ansoft Designer v5.0 design kit version v1.0 Rev. 1.0 22 December 2009 Document information Info Keywords Abstract Content Ansoft Designer Design kit Windows Linux Unix Instruction Manual RF small

More information

Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files

Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files Two files are used as input to ELDO: design_name.cir and design_name.spi

More information

Getting Started with Orcad Lite, Release 9.2

Getting Started with Orcad Lite, Release 9.2 Getting Started with Orcad Lite, Release 9.2 Professor Robert Hofinger Purdue University - Columbus You start a new project (program) by going to the File menu in the upper left corner, then New, and then

More information

Our Strategy for Learning Fortran 90

Our Strategy for Learning Fortran 90 Our Strategy for Learning Fortran 90 We want to consider some computational problems which build in complexity. evaluating an integral solving nonlinear equations vector/matrix operations fitting data

More information

Using OIPI to Export to Files

Using OIPI to Export to Files Using OIPI to Export to Files OIPI is by far one of the best ways to achieve high quality output from OpenInsight. However, did you know that it also has the ability to export your report output into file

More information

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science EE240B Spring 2018 Eric Chang, Prof. Elad Alon Virtuoso/ADEXL/BAG tutorial This tutorial

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

EXCEL 2003 DISCLAIMER:

EXCEL 2003 DISCLAIMER: EXCEL 2003 DISCLAIMER: This reference guide is meant for experienced Microsoft Excel users. It provides a list of quick tips and shortcuts for familiar features. This guide does NOT replace training or

More information

Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS s011

Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS s011 Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS 05.41-s011 This tutorial was originally written for ENGR 3410, Computer Architecture. It

More information

Preparing your scribe

Preparing your scribe Ron Shamir January 11, 2018 Preparing your scribe 1 General Instructions Thank you for volunteering to write up notes on today s lecture. If you scribe on a Tuesday we ask that you please bring your notes

More information

Department of Electrical & Electronic Engineeing Imperial College London. E4.20 Digital IC Design. Laboratory 1: Introduction to Electric & WinSPICE

Department of Electrical & Electronic Engineeing Imperial College London. E4.20 Digital IC Design. Laboratory 1: Introduction to Electric & WinSPICE Department of Electrical & Electronic Engineeing Imperial College London E4.20 Digital IC Design Laboratory 1: Introduction to Electric & WinSPICE Objectives By the end of this laboratory session, you

More information

Intro to Microsoft Word

Intro to Microsoft Word Intro to Microsoft Word A word processor is a computer program used to create and print text documents that might otherwise be prepared on a typewriter. The key advantage of a word processor is its ability

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information