University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science

Size: px
Start display at page:

Download "University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science"

Transcription

1 University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science EE240B Spring 2018 Eric Chang, Prof. Elad Alon Virtuoso/ADEXL/BAG tutorial This tutorial covers the following topics: 1. How to run Virtuoso on the instructional machines. 2. How to setup an ADEXL simulation and perform parametric sweeps. 3. (Optional) How to create new testbenches and run simulations in Python using BAG. Using BAG will require you to know Python and Numpy/Scipy. For Python, this website has many helpful material depending on your previous programming experiences: Numpy and Scipy both have very comprehensive documentation online. A great place to start is here: For learning/experimenting with Python, you can use the start_bag.sh script in the workspace directory. As a last tip, remember Google and StackOverflow are your best friends. 0. Account setup/remote login/virtuoso basics If you need a class account for logging into instructional machines, you can request one at You should log into the servers hpse-x.eecs.berkeley.edu, where X is a number between 9 to 15, both inclusive. For instructions on how to log into the servers remotely using X2Go, and also a basic Virtuoso tutorial, see the Software section on the course website. 1. Virtuoso setup To start Virtuoso, on the instructional machine, do the following: 1. Log into the instructional machine, and open a terminal. 2. Create the folder ee240b in your home directory, and cd into it: mkdir ee240b cd ee240b 3. In the terminal, type the following: git clone cd bag_workspace_gpdk045 git submodule init git submodule update This will setup the Virtuoso workspace directory.

2 4. Type the following to start Virtuoso: source.bashrc virtuoso & This will start the Virtuoso program. Whenever you open a new terminal, just cd to your work directory and run the above two commands again to start working. 2. ADEXL setup Next, we will learn how to use ADEXL to run parameteric sweeps, using HW1 Problem 2 part A as an example. 1. Create a new library named ee240b_mos_char, and attach the technology library gpdk045 to it. 2. Create a new schematic in this library named tb_mos_ibias. Add voltage sources, ground, and transistor nmos4_standard (which is the same as nmos4_svt) in the BAG_prim library, so you get the following schematic: Set the voltage sources DC voltage values to vds, vgs, and 0, respectively. If you wish to use Python for automation later, it is important that you put transistor separately on the right, with connections only made through labels, as shown in the picture above. Wire labels can be created with the hotkey L. It is also important for you to name the transistor XDUT. You can change the transistor name by modifying the Instance Name field in the property window. Set the transistor properties l=45n, nf=20, and w=0.5u. After you re done, check-and-save the schematic by pressing the save button with a green check mark next to it. 3. In the schematic window, select Launch/ADE XL, in the pop-up window, select the Create new View radio button, then press OK. Press OK again when the Create new ADE (G)XL view dialog pop up. A new adexl tab should open.

3 4. In the left pane window Data View, under Tests, click on the grey line Click to add test. An ADE XL Test Editor will pop up along with a Choose Design dialog. Click OK on the dialog window. Now, close the Test Editor, and then re-open it by clicking the new entry under Tests. 5. Click Variables/Copy From Cellview to copy the vds and vgs variables. Set them to the nominal value of 500m. 6. Setup DC analysis with a linear sweep on vgs, with starting value of VAR( vgs_start ) and stopping value of VAR( vgs_stop ). The analysis form should look like the screenshot below (Step size should be 0.05 instead). Then press OK.

4 7. Two new variables vgs_start and vgs_stop should be created. Set them to 0 and 1.2, respectively. Then, select Outputs/To Be Saved/Select on Design. The schematic window should appear. Select the negative terminal of the drain voltage source, an ellipse should appear circling that terminal. Press Escape when done. In the end, a new VDS/MINUS output should appear in the Test Editor Outputs window. 8. Select Outputs/Setup, a new Setting Outputs dialog will show up. Fill in the form according to the instruction below. This will define a new output expression ibias which measures the DC current flowing into the negative terminal of VDS. When you re done, press OK, and check both the Plot and Save checkboxes of the ibias output. 9. Now you re finished setting up the DC simulation. The final Test Editor window should look like the following:

5 10. Close the test editor, and click on the adexl tab to bring back the ADEXL view. In the Data View window, expand the Global Variables section, and double click on the value field of vds. Click on the button that shows up on the right. A Parameterize dialog will show up. 11. Click on Delete Spec to delete the existing setup. Then in the Add Specification combo box, select From/To. In the form, set Step Type to Linear, and set the sweep from 0 to 1.2, with step size of 0.1, as shown in the screenshot below (the step size is wrong). When you re done, press OK. 12. You are done setting up the ADEXL state, click on the save button to save this state. In summary, you setup a DC analysis which sweeps vgs, and on top of that analysis you set a sweep on vds. We chose to sweep vgs on the inner loop because the inner sweep is usually faster, and we have more vgs points because transistor bias current is more sensitive to vgs. Once you re done, click on the green start button on towards upper-right to start the simulation. You should see a list of analysis running in the main ADEXL window, with a progress bar on the lower left. 13. When simulation finish, press the graph icon button to plot the results. You should see something like the following:

6 If you wish to do all simulations and data-processing manually, you can now select Trace/Export... to export these waveforms to a csv or a matlab.m file. You can then use whatever program you like to parse these data and complete the rest of the problem. To simulate other transistor types, just replace the transistor and repeat the procedure. Remember that when characterizing a PMOS, vgs and vds have to be negative. However, if you want to use Python and BAG to automate simulation, continue reading. 14. First of all, we need to start BAG on Virtuoso. To do so, select the Virtuoso Log Window, and type the following command: load( start_bag.il ) Wait until you see the message *INFO* BAG skill server started. In the Log Window, as shown below:

7 15. Now, we have a testbench schematic, and we want to be able to modify this schematic through Python so that we can characterize different transistors. To do so, we need to import our schematics into Python. Go back to the terminal you used to start Virtuoso, and type the following command:./start_bag.sh This will start an IPython interpreter. In the interpreter, type the following commands: import bag prj = bag.bagproject() prj.import_design_library( ee240b_mos_char ) exit You should see outputs similar to below:

8 16. Now, open the file BagModules/ee240b_mos_char/tb_mos_ibias.py in your workspace. This file is created by the import command run in step 15. If you modified the schematic, you need to re-run the import process to update BAG about the changes. Don t worry; if you already have code in this file, it will not be overridden. In this file, fill in the get_params_info() method according to the following screenshot. This method returns a Python dictionary from schematic parameter names to a brief description string of what that parameter is.

9 17. Next, we need to implement the design() method, which will tell BAG how the original schematic should be modified based on input parameters. Fill the design() method argument and the body according to the screenshot below. The replace_instance_master() call handles changing the NMOS transistor to a PMOS transistor (if necessary), and the self.instances[ XDUT ].design() function call modifies the transistor parameter values. When you are done, save and close this file.

10 18. Back on the terminal, start the IPython interpreter again, and execute the following command: run i scripts_char/hw1_ibias_char.py This will run the Python script scripts_char/hw1_ibias_char.py, which will use BAG and the generator you wrote in steps 16 and 17 to automatically characterize the transistor, and save the simulation results in the directory data/hw1. The expected output is shown below: 19. Open the script you just ran in an editor, and scroll to the very end. You will see 3 different method calls, with two of those commented. The characterize() function you just ran will generate new schematics and ADEXL states based on the template and generator you made in steps 1 through 17, run simulation, and save simulation results in the data directory. You should read the implementation to understand each function call. Note that the transistor parameters are controlled by global variables, and by default only nmos4_svt and pmos4_svt are characterized. You need to modify those global variables to get all the simulation data for part A. The print_data_info() function demonstrates how to load the simulation files created by the characterize() function and access the simulation data. If you comment out characterize() and uncomment print_data_info(), then re-run the script, you should see the following output:

11 This shows that the results is a Python dictionary containing both sweep parameter values and output values as Numpy arrays (bag.io.sim_data.sweeparray is a subclass of Numpy array). In addition, sweep_params is a dictionary from output name to a list of sweep parameter names corresponding to each array dimension. sweep_params basically tells you the sweep variable order. Finally, the plot_data() method shows how to generate a 3D plot of numpy arrays in Python. If you only uncomment plot_data() and re-run the script, You will be done with part A! For part B, you should add your own data-processing methods in this script. For part C, you can try repeating these steps for an AC transit frequency testbench.

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

Experiment 0: Introduction to Cadence

Experiment 0: Introduction to Cadence UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE105 Lab Experiments Experiment 0: Introduction to Cadence Contents 1. Introduction...

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

Basic Analog Simulation in Cadence

Basic Analog Simulation in Cadence York University Department of Electrical Engineering and Computer Science EMIL Tutorial Series Tutorial #1 Basic Analog Simulation in Cadence In this tutorial we step through how to start Cadence (or at

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Canon Image Browser EX: A Novice Tutorial for Creating a Vacation Slideshow. Eric Morlang

Canon Image Browser EX: A Novice Tutorial for Creating a Vacation Slideshow. Eric Morlang Canon Image Browser EX: A Novice Tutorial for Creating a Vacation Slideshow Eric Morlang Table of Contents Introduction... 3 What is this tutorial about?... 3 Who is this tutorial for?... 3 What should

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Lab 5: Circuit Simulation with PSPICE

Lab 5: Circuit Simulation with PSPICE Page 1 of 11 Laboratory Goals Introduce text-based PSPICE as a design tool Create transistor circuits using PSPICE Simulate output response for the designed circuits Introduce the Tektronics 571 Curve

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

How To Plot Transconductance and Even More. By Ruida Yun

How To Plot Transconductance and Even More. By Ruida Yun How To Plot Transconductance and Even More By Ruida Yun g m /I d based methodology is preferred for short-channel length analog circuit design however there is no GUI support for this method in the current

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Alfred Sargezi & Zain Ali AMS Group - San Jose State

More information

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

TUTORIAL How to Use the SPICE Module

TUTORIAL How to Use the SPICE Module TUTORIAL How to Use the SPICE Module February 2018 1 1. Overview The SPICE Module is an add-on option in PSIM. Powered by CoolSPICE developed by CoolCAD Electronics LLC., the SPICE Module provides a SPICE

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

Cadence Analog Circuit Tutorial

Cadence Analog Circuit Tutorial Cadence Analog Circuit Tutorial Schematic Entry for Analog Designs- Passive Circuits (RLC Circuit) In this tutorial, we will build the circuit shown in figure 1 below, using the Cadence Composer tool.

More information

LAB EXERCISE 3 Harmonic Balance Techniques

LAB EXERCISE 3 Harmonic Balance Techniques ADS 2012 Circuit Simulation Techniques (v.2 - April 2013) LAB EXERCISE 3 Harmonic Balance Techniques Topics: Harmonic Balance simulations are covered including swept variables, 2-tone simulations, equations

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Vout vs Vin Tutorial Alfred Sargezi

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

Procedure to Create Custom Report to Report on F5 Virtual Services

Procedure to Create Custom Report to Report on F5 Virtual Services Procedure to Create Custom Report to Report on F5 Virtual Services Summary: The purpose of this Application Note is to provide a procedure to report on F5 Load Balancer Virtual Services. The report uses

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

PSpice Tutorial. Physics 160 Spring 2006

PSpice Tutorial. Physics 160 Spring 2006 PSpice Tutorial This is a tutorial designed to guide you through the simulation assignment included in the first homework set. You may either use the program as installed in the lab, or you may install

More information

TUTORIAL How to Use the SPICE Module

TUTORIAL How to Use the SPICE Module TUTORIAL How to Use the SPICE Module November 2017 1 1. Overview The SPICE Module is an add-on option in PSIM. Powered by CoolSPICE developed by CoolCAD Electronics LLC., the SPICE Module provides a SPICE

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Where Did My Files Go? How to find your files using Windows 10

Where Did My Files Go? How to find your files using Windows 10 Where Did My Files Go? How to find your files using Windows 10 Have you just upgraded to Windows 10? Are you finding it difficult to find your files? Are you asking yourself Where did My Computer or My

More information

Introduction to PSpice

Introduction to PSpice Introduction to PSpice Simulation Software 1 The Origins of SPICE In the 1960 s, simulation software begins CANCER Computer Analysis of Nonlinear Circuits, Excluding Radiation Developed at the University

More information

SCHEMATIC1 SCHEMATIC2 SCHEMATIC1 SCHEMATIC2 SCHEMATIC3 PAGE1 PAGE2 PAGE3 PAGE1 PAGE1 PAGE2 PAGE1 PAGE1 PAGE2

SCHEMATIC1 SCHEMATIC2 SCHEMATIC1 SCHEMATIC2 SCHEMATIC3 PAGE1 PAGE2 PAGE3 PAGE1 PAGE1 PAGE2 PAGE1 PAGE1 PAGE2 An OrCAD Tutorial Dr. S.S.Limaye 1. Introduction OrCAD is a suite of tools from Cadence company for the design and layout of printed circuit boards (PCBs). This is the most popular tool in the industry.

More information

Newforma Contact Directory Quick Reference Guide

Newforma Contact Directory Quick Reference Guide Newforma Contact Directory Quick Reference Guide This topic provides a reference for the Newforma Contact Directory. Purpose The Newforma Contact Directory gives users access to the central list of companies

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

EE 105 Microelectronic Devices & Circuits FALL 2018 C. Nguyen

EE 105 Microelectronic Devices & Circuits FALL 2018 C. Nguyen 1. Objective UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences HSPICE Tutorial The objective of this session is to give initial exposure to the

More information

Installing a Custom AutoCAD Toolbar (CUI interface)

Installing a Custom AutoCAD Toolbar (CUI interface) Installing a Custom AutoCAD Toolbar (CUI interface) AxciScape produces AutoCAD script files which must be Run within AutoCAD. You can do this by typing SCRIPT into the command line and then select the

More information

Lab 1: Analysis of DC and AC circuits using PSPICE

Lab 1: Analysis of DC and AC circuits using PSPICE Lab 1: Analysis of DC and AC circuits using PSPICE 1. Objectives. 1) Familiarize yourself with PSPICE simulation software environment. 2) Obtain confidence in performing DC and AC circuit simulation. 2.

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

Episode 1 Using the Interpreter

Episode 1 Using the Interpreter Episode 1 Using the Interpreter Anaconda We recommend, but do not require, the Anaconda distribution from Continuum Analytics (www.continuum.io). An overview is available at https://docs.continuum.io/anaconda.

More information

Tutorial: GNU Radio Companion

Tutorial: GNU Radio Companion Tutorials» Guided Tutorials» Previous: Introduction Next: Programming GNU Radio in Python Tutorial: GNU Radio Companion Objectives Create flowgraphs using the standard block libraries Learn how to debug

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Lesson 15: Using Text Files to Add or Modify Design Properties

Lesson 15: Using Text Files to Add or Modify Design Properties Lesson 15: Using Text Files to Add or Modify Design Properties Lesson Objectives After completing this lesson, you will be able to: Use the Export/Import commands Create a Custom Bill-of-Materials Using

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

This document explains how to obtain a direct link from within an existing Facebook page to the hotel s booking

This document explains how to obtain a direct link from within an existing Facebook page to the hotel s booking How to link Facebook with the WuBook Booking Engine! This document explains how to obtain a direct link from within an existing Facebook page to the hotel s booking engine page at WuBook via the WuBook

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Quick Links on Google Apps. Information about ACC Google Apps and Mail can be found here at

Quick Links on Google Apps. Information about ACC Google Apps and Mail can be found here at Quick Links on Google Apps Information about ACC Google Apps and Mail can be found here at http://www.austincc.edu/itdocs/google/index.php. 1. Transitioning to Google Apps Mail from Microsoft Outlook Since

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information

Code::Blocks Student Manual

Code::Blocks Student Manual Code::Blocks Student Manual Lawrence Goetz, Network Administrator Yedidyah Langsam, Professor and Theodore Raphan, Distinguished Professor Dept. of Computer and Information Science Brooklyn College of

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

Slide#1: A How-To Tutorial on How to Run Custom Reports in DOI LEARN.

Slide#1: A How-To Tutorial on How to Run Custom Reports in DOI LEARN. Slide#1: A How-To Tutorial on How to Run Custom Reports in DOI LEARN. Slide#2: Log into DOI LEARN: https://gm2.geolearning.com/geonext/doi/login.geo Enter your Username and Password. If you need a new

More information

Introduction to Windows

Introduction to Windows Introduction to Windows Naturally, if you have downloaded this document, you will already be to some extent anyway familiar with Windows. If so you can skip the first couple of pages and move on to the

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN ModelSim Tutorial Prepared by: Phil Beck 9/8/2008 Vote 1 Vote 2 Voter Function Pass Vote 3 Pass is only a 1 when two or more of the Vote inputs

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Cadence Virtuoso Simulation of a pixel

Cadence Virtuoso Simulation of a pixel MEMS AND MICROSENSORS 2018/2019 Cadence Virtuoso Simulation of a pixel 11/12/2018 Giorgio Mussi giorgio.mussi@polimi.it Introduction In this lab, we will use Cadence Virtuoso to simulate a sub-array of

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

eggplant v11.0 Mac OS X EggPlant: Getting Started

eggplant v11.0 Mac OS X EggPlant: Getting Started eggplant v11.0 Mac OS X EggPlant: Getting Started Copyright 2011 TestPlant Inc. Eggplant: Getting Started Trademarks Eggplant, the Eggplant logos, TestPlant, and the TestPlant logo are trademarks or registered

More information

Instructions for Using the Databases

Instructions for Using the Databases Appendix D Instructions for Using the Databases Two sets of databases have been created for you if you choose to use the Documenting Our Work forms. One set is in Access and one set is in Excel. They are

More information

CSE 101 Introduction to Computers Development / Tutorial / Lab Environment Setup

CSE 101 Introduction to Computers Development / Tutorial / Lab Environment Setup CSE 101 Introduction to Computers Development / Tutorial / Lab Environment Setup Purpose: The purpose of this lab is to setup software that you will be using throughout the term for learning about Python

More information

Trouble Shooting. Access Rights. Application Crashed. CSV File Will Not Open. file://c:\users\antonym\appdata\local\temp\~hh6280.

Trouble Shooting. Access Rights. Application Crashed. CSV File Will Not Open. file://c:\users\antonym\appdata\local\temp\~hh6280. Page 1 of 11 This section contains helpful hints when something goes wrong. Access Rights Application Crashed CSV File Will Not Open Issues with Opening Application (Data Link Properties Help) Incorrect

More information

HOW TO USE CODE::BLOCKS IDE FOR COMPUTER PROGRAMMING LABORATORY SESSIONS

HOW TO USE CODE::BLOCKS IDE FOR COMPUTER PROGRAMMING LABORATORY SESSIONS HOW TO USE CODE::BLOCKS IDE FOR COMPUTER PROGRAMMING LABORATORY SESSIONS INTRODUCTION A program written in a computer language, such as C/C++, is turned into executable using special translator software.

More information

Getting Started with Orcad Lite, Release 9.2

Getting Started with Orcad Lite, Release 9.2 Getting Started with Orcad Lite, Release 9.2 Professor Robert Hofinger Purdue University - Columbus You start a new project (program) by going to the File menu in the upper left corner, then New, and then

More information

MadgeTech 4 Software User Guide:

MadgeTech 4 Software User Guide: MadgeTech 4 Software User Guide: Getting to Know the Software: Here is a starting screenshot of the MadgeTech 4 software. As you can see in the figure, there are three main tabs in the top left. In the

More information

Slide#1: A How-To Tutorial on How to Run Standard Reports in DOI LEARN.

Slide#1: A How-To Tutorial on How to Run Standard Reports in DOI LEARN. Slide#1: A How-To Tutorial on How to Run Standard Reports in DOI LEARN. Slide#2: Log into DOI LEARN: https://gm2.geolearning.com/geonext/doi/login.geo Enter your Username and Password. If you need a new

More information

ECEN 474 Homework #2 Notes

ECEN 474 Homework #2 Notes ECEN 474 Homework #2 Notes February 22, 2018 Due: 3-6-2018, 5:00PM Homeworks will not be received after due. Instructor: Sam Palermo 1. (50 points) Technology Characterization for Design In this problem,

More information

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page. Pspice Tutorial Create a new project and select Analog or Mixed A/D. Choose an appropriate project name and a path. A new window pop up with the Pspice project type, select Create a blank project and click

More information

Start ADS and Create an Empty Project

Start ADS and Create an Empty Project Start ADS and Create an Empty Project Look for a desktop icon or start menu item entitled Advanced Design System 2011 ADS will start up and you will see ultimately: ADS Session 1 click for new project

More information

Introduction to Programming with Python 3, Ami Gates. Chapter 1: Creating a Programming Environment

Introduction to Programming with Python 3, Ami Gates. Chapter 1: Creating a Programming Environment Introduction to Programming with Python 3, Ami Gates Chapter 1: Creating a Programming Environment 1.1: Python, IDEs, Libraries, Packages, and Platforms A first step to learning and using any new programming

More information

Instruction: Download and Install R and RStudio

Instruction: Download and Install R and RStudio 1 Instruction: Download and Install R and RStudio We will use a free statistical package R, and a free version of RStudio. Please refer to the following two steps to download both R and RStudio on your

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Reference Guide. Adding a Generic File Store - Importing From a Local or Network ShipWorks Page 1 of 21

Reference Guide. Adding a Generic File Store - Importing From a Local or Network ShipWorks Page 1 of 21 Reference Guide Adding a Generic File Store - Importing From a Local or Network Folder Page 1 of 21 Adding a Generic File Store TABLE OF CONTENTS Background First Things First The Process Creating the

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

PISCES Installation and Getting Started 1

PISCES Installation and Getting Started 1 This document will walk you through the PISCES setup process and get you started accessing the suite of available tools. It will begin with what options to choose during the actual installation and the

More information

Building Resource Builder cases: Case Builder template

Building Resource Builder cases: Case Builder template Building Resource Builder cases: Case Builder template Brief instructions Log into Resource Builder. Create a resource by selecting Case Builder template. Name your new case. Go to Pages and add content:

More information

DC Circuit Simulation

DC Circuit Simulation Chapter 2 DC Circuit Simulation 2.1 Starting the Project Manager 1. Select Project Manager from the Start All Program Cadence Release 16.5 Project Manager. 2. Select Allegro PCB Designer (Schematic) from

More information