Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description.

Size: px
Start display at page:

Download "Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description."

Transcription

1 FIR Filter User Guide 6/214 Capital Microelectronics, Inc. China

2 Contents 1 Introduction FIR Filter IP Overview FIR Filter Theory Introduction FIR Filter IP Diagram Pin Description Parameter Description Computation Detail Introduction Single-Rate Decimator Interpolator FIR Filter IP Usage Coefficient File Format Coefficient File Generation Maximum Input Data Rate FIR IP Wizard Usage Guideline Output Data Width Interface Timing Resource Usage and Performance Analysis Generate File Directory Structure File Directory Structure for M5 Family Device File Directory Structure for M7 Family Device Revision History

3 1 Introduction This document mainly describes the usage of FIR(finite impulse response) Filter IP. The FIR Filter IP supports the following features: Multiply-Accumulate(MAC) architecture Filter order Single or multiple(up to 16) MAC engines used to achieve specified filter performance Only signed input data and coefficient(complement code) supported, output data complement code Input data precision 9-16 bit Filter coefficients precision 9-16 bit Support different input/output data throughout Single-rate filter Poly-phase interpolator(interpolation rate value 2-16) Poly-phase decimator(decimation rate value 2-16) The IP can work well up to 1MHz(clock rate) Support Device: CME M5 & M7 Basic requirements: For IP performance optimization consideration 1 All IP inputs need to be registered 2 All IP outputs need to be registered 3 Add pipe line to get better performance 3

4 2 FIR Filter IP Overview 2.1 FIR Filter Theory Introduction The conventional single-rate FIR version of the core computes the convolution sum defined in the following equation, where N is the number of filter coefficients. Figure 2-1 illustrates the conventional tapped delay line realization of this inner-product calculation. However, because this architecture utilizes a large amount of LUTs and REGs when the filter order is a big number, CME FIR filter IP is designed based on time-shared MAC. For this architecture, data to be filtered and coefficient are stored in RAMs, one or more time-shared multiply-accumulate (MAC) functional units are used to service the N sum-of-product calculations in the filter. The core automatically determines the minimum number of MAC engines required to meet user-specified throughput. Figure 2-1 Conventional Tapped Delay Line FIR Filter Representation 2.2 FIR Filter IP Diagram Coefficient RAM Array Wizard Initialization Control Multiplier Array Pipeline Adder dout dout_en din din_en Data RAM Array Figure 2-2 FIR Filter IP Block Diagram The FIR filter IP core includes 4 sub modules which are illustrated in figure 2-2. Detailed introduction are as below. 4

5 Control Module The module is used to control the access of coefficient and data and send them into multiplier array. Subsequently it gets multiple multiplier results into pipeline adder and outputs the filter result. Multiplier Array It consists of multiple MAC(hard IP). The amount of MAC used for filter is set by the user. Pipeline Adder Multiple adders are used for computing the sum of all multiplier result. Pipeline architecture is exploited to improving timing. Coefficient RAM Array & Data RAM Array Multiple RAM are used to store coefficient and data. The amount of RAM is equal to that of MAC. For each RAM, the first part stores user data while the second part stores coefficient. The data RAM has 2 ports, of which one is for writing new data and the other for reading old data for multiplication. The coefficient is initialized when FIR filter IP is generated and can only be read out when the IP works. 2.3 Pin Description Table 2-1 FIR Filter IP Interface Interface Name Direction Width Description System clk Input 1 clock input rst_n Input 1 reset input, low active User din(signed) Input DIN_WIDTH 1 data input interface din_en Input 1 data input enable dout(signed) Output DOUT_WIDTH data output dout_en Output 1 data output enable Note 1: DIN_WIDTH is configured by the user. The greatest value the IP supports is Parameter Description Table 2-2 FIR Filter IP Parameter Parameter Valid value Description DATA_WIDTH 9-16 Default is 16, only signed data is accepted. COEFFICIENT_WIDTH 9-16 Default is 16, only signed data is accepted. FILTER_ORDER Order of filter, equal to the amount of coefficient. MAC_AMOUNT 1-16 The amount of MAC the FIR filter used RAM_AMOUNT 1-16 The amount of RAM group the FIR filter used, one RAM group contains two EMB5K. RAM_AMOUNT is equal to MAC_AMOUNT DATA_L_WIDTH 8 Lower 8 bit of data is stored in the lower part of a RAM group. It is set to 8 by internal logic. COEF_L_WIDTH 8 Lower 8 bit of coefficient is stored in the lower 5

6 part of a RAM group. It is set to 8 by internal logic. LOG2_RAM_AMOUNT -4 Log 2 (RAM_AMOUNT), which is to configure bit width of internal logic. EACH_RAM_DEPTH The amount of data and coefficient each RAM group hold LOG2_ EACH_RAM_DEPTH -8 Log 2 (EACH_RAM_DEPTH), which is to configure bit width of internal logic. FILTER_TYPE,1,2 : Single-rate filter 1: Decimation filter 2: Interpolation filter DECI_RATE 2-16 Decimation rate INTER_INTERVAL Interpolation interval 2.5 Computation Detail Introduction The FIR filter IP supports single-rate, decimator and interpolator. For single-rate, the output data rate f out is equal to the input data rate f in. For interpolator, the output data rate f out is multiple times greater than the input data rate f in (f out =M f in ). For decimator, the input data rate f in is multiple times greater than the output data rate f out (f in =M f out ). M must be an integer. The ratio with a fractional part is not supported Single-Rate Figure 2-3 illustrates the data store structure and computation flow of a single-rate filter using 8 MAC and 8 RAMs. In this example, the filter order is 8M and each RAM stores M data. Input data first fills Data of RAM, then Data1, Data2,., Data(M-1). After RAM is full, then RAM1 is filled like RAM. When Data(8M-1) of RAM7 is filled, next data is written into Data of RAM. For filter result in single-rate application, the output data rate is equal to input data rate. Showed in figure 2-3, to get output data 1, data vector(data, data1,., data(8m-1)) gets into the multiply-accumulator. To get next output data 2, data vector(data 1, data2,., data(8m-1), new data) gets into the multiply-accumulator. To get output data 3, data vector(data 2, data3,., data(8m-1), new data, new data1) gets into the multiply-accumulator. And output data 4, 5, 6,. are obtained in this way. 6

7 Output data 1 starting point Data Data(M) Data(7M) Output data 2 starting point Data1 Data(M+1) Data(7M+1) Output data 3 starting point Data2 Data(M+2) Data(7M+2) Data3 Data(M+3) Data(7M+3) Data4 Data(M+4) Data(7M+4) Data5 Data6 Data7 Data(M+5) Data(M+6) Data(M+7) Data(7M+5) Data(7M+6) Data(7M+7) Data(M-1) Data(2M-1) Data(8M-1) RAM RAM1 RAM7 Figure 2-3 Data Store Structure and Computation Flow(Single-Rate,8 MAC) Decimator Figure 2-4 illustrates the data store structure and computation flow of a decimating filter using 8 MAC and 8 RAMs. The data store structure is the same as that in single-rate application but the output data rate is one third of input data rate. Showed in figure 2-4, to get output data 1, data vector(data, data1,., data(8m-1)) gets into the multiply-accumulator. To get next output data 2, data vector(data 3, data4,., data(8m-1), new data, new data1, new data2) gets into the multiply-accumulator. To get output data 3, data vector(data 6, data7,., data(8m-1), new data, new data1,., new data5) gets into the multiply-accumulator. And output data 4, 5, 6,. are obtained in this way. Output data 1 starting point Data Data(M) Data(7M) Data1 Data(M+1) Data(7M+1) Data2 Data(M+2) Data(7M+2) Output data 2 starting point Data3 Data(M+3) Data(7M+3) Data4 Data(M+4) Data(7M+4) Data5 Data(M+5) Data(7M+5) Output data 3 starting point Data6 Data7 Data(M+6) Data(M+7) Data(7M+6) Data(7M+7) Data(M-1) Data(2M-1) Data(8M-1) RAM RAM1 RAM7 Figure 2-4 Data Store Structure and Computation Flow(Decimator,8 MAC) Interpolator Figure 2-5 illustrates the data store structure and computation flow of a interpolating filter using 8 MAC and 8 RAMs. In this example, the interpolating ratio is 3, which means the output data rate is 3 times greater than input data rate. 7

8 Observed from figure 2-5, the data store structure of interpolator is different from that of single-rate and decimator. Data, data1, data2,. are from external pins of the IP, and two are inserted between adjacent input data by control module. To get output data 1, data vector(data,,, data1,., data(7m/3),., ) gets into the multiply-accumulator. To get next output data 2, data vector(,, data1,,, data2,., data(7m/3),.,, new data) gets into the multiply-accumulator. To get output data 3, data vector(, data1,,, data2,., data(7m/3),.,, new data, ) gets into the multiply-accumulator. And output data 4, 5, 6,. are obtained in this way. Output data 1 starting point Data Data(M/3) Data(7M/3) Output data 2 starting point Output data 3 starting point Data1 Data(M/3+1) Data(7M/3+1) Data2 Data(M/3+2) Data(7M/3+2) RAM RAM1 RAM7 Figure 2-5 Data Store Structure and Computation Flow(Interpolator,8 MAC) 8

9 3 FIR Filter IP Usage The purpose of this document is introducing how to use the FIR filter IP core. The theory and methods on how to design a filter are excluded from this document. However, here make a brief introduction about FDATool in MATLAB so that users can generate a set of coefficient satisfying system requirement and transform it to the specific data format for the FIR filter IP. 3.1 Coefficient File Format To utilize FIR Filter IP, users must import a dat coefficient file and data in the file must be arranged in specific format. The requirement is described as below: 1. Decimal complement code. If the COEFFICIENT_WIDTH is 16, then the number between to is positive number and that between to is positive number. If the COEFFICIENT_WIDTH is 12, then the number between 248 to 495 is positive number and that between to 247 is positive number. The similar rule applies to other COEFFICIENT_WIDTH value. 2. Each line contains only one number. Users must not add blank space or others symbols behind the number. 3. The filter order must be equal to the number amount in the coefficient file. Caution: If the coefficient file format does not meet the requirement above, the FIR filter IP may fail to work or the result is not right. 3.2 Coefficient File Generation For filter design, a toolbox called FDATool in MATLAB is widely used. The graphic user interface is showed in figure

10 Figure 3-1 FDATool GUI 1. Select filter type(lowpass, highpass, bandpass, etc); 2. Select design method; 3. Select frequency specification(fs, Fpass, Fstop); 4. Select magnitude specification(apass, Astop); 5. Press the button Design Filter, then the magnitude response is showed; 6. File->Output, output coefficient to workspace of MATLAB(variable coef_num); in this example, the order of filter is 1. The coef_num(only former 12 coefficient) is as below: Figure 3-2 coef_num value in MATLAB 7. The FIR IP s coefficient initialization file accepts only decimal complement code, so uses should carry out the conversion(from positive and negative digit with fractional part to decimal complement code). The following is a set of MATLAB code. With its help, coefficients can be transformed to the data format which is required by FIR IP and stored in user_input.dat(file name is defined by the user). close all; clc; delete('*.dat'); FILTER_ORDER = 1; COEF_WIDTH = 16; coef_num_t = transpose(coef_num); coef_num_t_int = round(2^(coef_width-1)*coef_num_t); for i= 1:FILTER_ORDER 1

11 end if coef_num_t_int(i,1) < end coef_num_t_int(i,1) = 2^COEF_WIDTH + coef_num_t_int(i,1); fid = fopen('user_input.dat','wt'); for i=1:filter_order p=coef_num_t_int(i,1); fprintf(fid,'%d\n',p); end fclose(fid); 3.3 Maximum Input Data Rate As for the maximum input data rate(f INPUT ), it is decided by filter order(n ORDER ), amount of MAC(N MAC ), clock rate(f CLOCK ) and decimating/interpolating rate(k). The detail is as below. For single-rate: f INPUT = f CLOCK * N MAC / N ORDER For decimator: f INPUT = f CLOCK * N MAC *K/ N ORDER For interpolator: f INPUT = f CLOCK * N MAC / (N ORDER *K) 3.4 FIR IP Wizard Usage Guideline Figure 3-3 Coefficient RAM Organization Figure 3-3 illustrates the parameters that users should set when utilizing a FIR IP. The following makes a detailed introduction on all parameters. System clock frequency: Working frequency of FIR IP(clock connected to clk pin) Input sampling frequency: Sampling frequency of data to be filtered(signal connected to din_en pin) Filter order: Order of filter(the amount of coefficient) 11

12 Filter type: Three filter types are supported(single-rate FIR, interpolation FIR, decimation FIR) Decimation rate value: The value provided in this field defines the down-sampling factor Interpolation rate value: The value provided in this field defines the up-sampling factor Data width: The bit precision of the data to be filtered Coefficient width: The bit precision of the filter coefficients Coefficient file: Coefficient file name. This is the file of filter coefficients. The file has a.dat extension and can be generated in accordance with section 3.1 MAC count: The amount of MAC the FIR IP uses EMB5K count: The amount of EMB5K the FIR IP uses 3.5 Output Data Width In CME FIR Filter IP, the output data width is a fixed value 4, which is to utilized the MAC bit width for full precision output. For user design, the power of input signal must be equal to that of output signal. So, bit width truncation is needed. The truncation must follow the following rule. 1) Remove (COEFFICIENT_WIDTH-1) LSB, with verilog, it is assign dout_temp = dout[39:(coefficient_width-1)] 2) For dout_temp obtained in step 1, keep the left bit(msb) as the sign bit, then keep its (DATA_WIDTH-1) LSB, with verilog, it is assign dout_result = {dout_temp[39], dout_temp[(data_width-1):] dout_result is the ultimate output signal, the power of which is equal to that of din. 3.6 Interface Timing Figure 3-4 illustrates input and output data timing. When data(din, usually from AD) gets into the FIR filter IP, din_en must be asserted. After processing, the IP asserts dout_en to indicate that output data is available. Note: The din_en must last for only one clock cycle, or else the IP core will generate wrong output result. Figure 3-4 Input/Output Data Timing 3.7 Resource Usage and Performance Analysis The resource usage of FIR IP fully depends on the parameters users set, so it is difficult to list all the resource usage corresponding to different parameters. To help users evaluate the resource usage of FIR IP with their parameter. there lists a few typical data in table

13 Table 3-1 Resource Usage and Performance Filter Type Filter Order Clock/Sample LUTs REGs EMB5K MAC Performance Single-Rate M Single-Rate M Single-Rate M Single-Rate M Decimator M Decimator M Decimator M Decimator M Interpolator M Interpolator M Interpolator M Interpolator M Note: The above result is tested based on CME M5. Because the architecture of M5 and M7 is the same, so the resource usage of FIR IP in M5 is similar to that in M

14 4 Generate File Directory Structure 4.1 File Directory Structure for M5 Family Device User Guide of FIR Filter The FIR filter IP wizard generated file(m5) includes: source files (src), simulation files(sim), document and example design files. The detailed design directory structure is as below. Project src outputs ip_core fir_inst.v (define by user) fir_v1 src sim doc example fir_top.v CME3_sim.v CME_FIR _user_guide.pdf fir_example.zip control.v ram_array.v M5 fir_top_tb _modelsim.f CME_FIR_example _user_guide.pdf multiplier_m5.v cme_ip_emb_m5.v mac_18x18_m5.v fir_top_tb.v fir_top_tb.do src_vp *.vp (Protected RTL) = directory = source RTL code = simulation related files = documentation Figure 4-1 IP wizard generated file directory structure(m5) Table 4-1 Generated File Directory structure(m5) Directory /src fir_inst.v /ip_core/fir_v1/src cme_ip_emb_m5.v control.v fir_top.v mac_18x18_m5.v multiplier_m5.v ram_array.v Description FIR instance file generated by Primace wizard Directory for project source CME M5 EMB module Control module (Encrypted) FIR IP top module (Encrypted) CME M5 MAC IP CME M5 data multiply coefficient module(encrypted) Coefficient and data emb module(encrypted) 14

15 /ip_core/fir_v1/sim /M5/fir_top_tb.v /M5/fir_top_tb.do /M5/fir_top_tb_modelsim.f CME3_sim.v /src_vp *.vp /ip_core/fir_v1/doc CME_FIR_user_guide _EN1.pdf /ip_core/fir_v1/example CME_FIR_example_user _guide_en1.pdf fir_example.zip FIR top test bench Do script for ModelSim to run simulation File list for ModelSim simulation M5 simulation library ModelSim encrypted source code FIR IP user guide FIR IP example user guide Example project 4.2 File Directory Structure for M7 Family Device The FIR filter IP wizard generated file(m7) includes: source files (src), simulation files(sim), document and example design files. The detailed design directory structure is as below. Project src outputs ip_core fir_inst.v (define by user) fir_v1 src sim doc example fir_top.v m7s_sim.v CME_FIR _user_guide.pdf CME_FIR_example _user_guide.pdf control.v M7 ram_array.v multiplier_m7.v cme_ip_emb_m7.v mac_18x18_m7.v fir_top_tb _modelsim.f fir_top_tb.v fir_top_tb.do src_vp *.vp (Protected RTL) = directory = source RTL code = simulation related files = documentation Figure 4-2 IP wizard generated file directory structure(m7) 15

16 Table 4-2 Generated File Directory structure(m7) Directory /src fir_inst.v /ip_core/fir_v1//src cme_ip_emb_m7.v control.v fir_top.v mac_18x18_m7.v multiplier_m7.v ram_array.v Description FIR instance file generated by Primace wizard Directory for project source CME M7 EMB module Control module (Encrypted) FIR IP top module (Encrypted) CME M7 MAC IP CME M7 data multiply coefficient module(encrypted) Coefficient and data emb module(encrypted) /ip_core/fir_v1//sim /M7/fir_top_tb.v /M7/fir_top_tb.do /M7/fir_top_tb_modelsim.f m7s_sim.v /src_vp *.vp /ip_core/fir_v1//doc CME_FIR_user_guide _EN1.pdf /ip_core/fir_v1//example CME_FIR_example_user _guide_en1.pdf FIR top test bench. Do script for ModelSim to run simulation File list for ModelSim simulation M7 simulation library ModelSim encrypted source code FIR IP user guide FIR IP example user guide Note: For M7, the usage of FIR Filter IP is the same to that for M5, so users can refer to the fir_example.zip and generate the IP according to the flow in M5 example project. 16

Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China

Floating Point. User Guide. 3/2014 Capital Microelectronics, Inc. China Floating Point User Guide 3/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 Floating_Point Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 5 2.3

More information

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China AHB2APB Bridge User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB2APB Bridge Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 4

More information

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China AHB Slave Decoder User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB Slave Decoder Overview... 4 2.1 Pin Description... 4 2.2 Block Diagram... 5 3

More information

SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China

SDR SDRAM Controller. User Guide. 10/2012 Capital Microelectronics, Inc. Beijing, China SDR SDRAM Controller User Guide 10/2012 Capital Microelectronics, Inc. Beijing, China Contents Contents... 2 1 Introduction... 3 2 SDRAM Overview... 4 2.1 Pin Description... 4 2.2 Mode register... 4 2.3

More information

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China

External I2C. User Guide. 05/2014 Capital Microelectronics, Inc. China External I2C User Guide 05/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 External I2C Overview... 4 2.1 Pin Description... 4 2.1.1 M5 I2C Interface... 4 2.1.2 M7

More information

CME AHB2APB Bridge Design Example

CME AHB2APB Bridge Design Example CME AHB2APB Bridge Design Example User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 9 4 Pin and Design

More information

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog 2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog Hrishikesh Salunkhe, h.l.salunkhe@tue.nl, Alok Lele, a.lele@tue.nl April 28, 2015 1 Contents 1 Introduction 3 2 Hardware design

More information

DA-FIR Filter Generator User s Guide

DA-FIR Filter Generator User s Guide DA-FIR Filter Generator User s Guide August 2010 IPUG58_01.6 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 5 Chapter 2. Functional Description... 6 General Description...

More information

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART. User Guide. 04/2014 Capital Microelectronics, Inc. China UART User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...4 2 UART Overview...5 2.1 Pins and Parameters... 5 2.1.1 EMIF interface... 5 2.1.2 AHB interface... 6

More information

INTRODUCTION TO CATAPULT C

INTRODUCTION TO CATAPULT C INTRODUCTION TO CATAPULT C Vijay Madisetti, Mohanned Sinnokrot Georgia Institute of Technology School of Electrical and Computer Engineering with adaptations and updates by: Dongwook Lee, Andreas Gerstlauer

More information

CoreFIR v8.6. Handbook

CoreFIR v8.6. Handbook CoreFIR v8.6 Handbook CoreFIR v8.6 Handbook Table of Contents Introduction... 5 Core Overview... 5 Key Features... 6 Supported Families... 6 Core Version... 6 Utilization and Performance... 7 Filter Types...

More information

FIR Filter IP Core User s Guide

FIR Filter IP Core User s Guide FIR Filter IP Core User s Guide April 2014 IPUG79_01.4 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 7 Chapter 2. Functional Description... 8 Interface Diagram... 8 FIR Filter

More information

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China PCI Example User Guide 02/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 PCI Target IP Core Overview... 4 2.1 PCI Target IP Core Diagram... 4 2.1.1 Overview... 4

More information

Filter Design HDL Coder 2 User s Guide

Filter Design HDL Coder 2 User s Guide Filter Design HDL Coder 2 User s Guide How to Contact The MathWorks www.mathworks.com Web comp.soft-sys.matlab Newsgroup www.mathworks.com/contact_ts.html Technical Support suggest@mathworks.com bugs@mathworks.com

More information

UART Design Example. User Guide. 04/2014 Capital Microelectronics, Inc. China

UART Design Example. User Guide. 04/2014 Capital Microelectronics, Inc. China UART Design Example User Guide 04/2014 Capital Microelectronics, Inc. China Contents Contents...2 1 Introduction...3 2 System level structure...5 2.1 EMIF interface application... 5 2.1.1 Single channel

More information

SD 2.0 Host Controller IP

SD 2.0 Host Controller IP SD 2.0 Host Controller IP User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 1 1 Introduction... 2 2 SD 2.0 Host Controller IP Overview... 3 2.1 Block Diagram and Description...

More information

isplever Parallel FIR Filter User s Guide October 2005 ipug06_02.0

isplever Parallel FIR Filter User s Guide October 2005 ipug06_02.0 isplever TM CORE Parallel FIR Filter User s Guide October 2005 ipug06_02.0 Introduction This document serves as a guide containing technical information about the Lattice Parallel FIR Filter core. Overview

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

LogiCORE IP FIR Compiler v7.0

LogiCORE IP FIR Compiler v7.0 LogiCORE IP FIR Compiler v7.0 Product Guide for Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary.................................................................. 5 Licensing

More information

Parallel FIR Filters. Chapter 5

Parallel FIR Filters. Chapter 5 Chapter 5 Parallel FIR Filters This chapter describes the implementation of high-performance, parallel, full-precision FIR filters using the DSP48 slice in a Virtex-4 device. ecause the Virtex-4 architecture

More information

FIR Compiler MegaCore Function User Guide

FIR Compiler MegaCore Function User Guide FIR Compiler MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Operations Part Number MegaCore Function Version: 3.3.1 Document Version: 3.3.1 rev 2 Document

More information

Color Space Converter

Color Space Converter March 2009 Reference Design RD1047 Introduction s (CSC) are used in video and image display systems including televisions, computer monitors, color printers, video telephony and surveillance systems. CSCs

More information

Implementing FIR Filters

Implementing FIR Filters Implementing FIR Filters in FLEX Devices February 199, ver. 1.01 Application Note 73 FIR Filter Architecture This section describes a conventional FIR filter design and how the design can be optimized

More information

Gamma Corrector IP Core User Guide

Gamma Corrector IP Core User Guide Gamma Corrector IP Core User Guide March 2015 IPUG64_1.3 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 5 Chapter 2. Functional Description... 6 Block Diagram... 6 Gamma Correction

More information

FFT/IFFTProcessor IP Core Datasheet

FFT/IFFTProcessor IP Core Datasheet System-on-Chip engineering FFT/IFFTProcessor IP Core Datasheet - Released - Core:120801 Doc: 130107 This page has been intentionally left blank ii Copyright reminder Copyright c 2012 by System-on-Chip

More information

FIR Compiler User Guide

FIR Compiler User Guide FIR Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Power and Area Efficient Implementation for Parallel FIR Filters Using FFAs and DA

Power and Area Efficient Implementation for Parallel FIR Filters Using FFAs and DA Power and Area Efficient Implementation for Parallel FIR Filters Using FFAs and DA Krishnapriya P.N 1, Arathy Iyer 2 M.Tech Student [VLSI & Embedded Systems], Sree Narayana Gurukulam College of Engineering,

More information

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE Anni Benitta.M #1 and Felcy Jeba Malar.M *2 1# Centre for excellence in VLSI Design, ECE, KCG College of Technology, Chennai, Tamilnadu

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) uiz - Spring 2004 Prof. Anantha Chandrakasan Student Name: Problem

More information

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to: Basic Xilinx Design Capture This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List various blocksets available in System

More information

SD Card Controller IP Specification

SD Card Controller IP Specification SD Card Controller IP Specification Marek Czerski Friday 30 th August, 2013 1 List of Figures 1 SoC with SD Card IP core................................ 4 2 Wishbone SD Card Controller IP Core interface....................

More information

Discontinued IP. Verification

Discontinued IP. Verification 0 3GPP2 Turbo Decoder v2.1 DS275 February 15, 2007 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Spartan-3A/3AN, Virtex -II, Virtex-II Pro, Virtex-4, and Virtex-5 FPGAs Implements the CDMA2000/3GPP2

More information

IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC

IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC Thangamonikha.A 1, Dr.V.R.Balaji 2 1 PG Scholar, Department OF ECE, 2 Assitant Professor, Department of ECE 1, 2 Sri Krishna

More information

FIR Compiler User Guide

FIR Compiler User Guide FIR Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved. Altera, The Programmable

More information

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression Divakara.S.S, Research Scholar, J.S.S. Research Foundation, Mysore Cyril Prasanna Raj P Dean(R&D), MSEC, Bangalore Thejas

More information

2D FIR Filter IP Core User s Guide

2D FIR Filter IP Core User s Guide 2D FIR Filter IP Core User s Guide January 2011 IPUG89_01.0 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 6 Chapter 2. Functional Description... 7 Key Concepts... 7 Block

More information

Using the DSP Blocks in Stratix & Stratix GX Devices

Using the DSP Blocks in Stratix & Stratix GX Devices Using the SP Blocks in Stratix & Stratix GX evices November 2002, ver. 3.0 Application Note 214 Introduction Traditionally, designers had to make a trade-off between the flexibility of off-the-shelf digital

More information

Notes for Unit 1 Part A: Rational vs. Irrational

Notes for Unit 1 Part A: Rational vs. Irrational Notes for Unit 1 Part A: Rational vs. Irrational Natural Number: Whole Number: Integer: Rational Number: Irrational Number: Rational Numbers All are Real Numbers Integers Whole Numbers Irrational Numbers

More information

CIC MegaCore Function User Guide

CIC MegaCore Function User Guide CIC MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 10.1 Document Date: December 2010 Copyright 2010 Altera Corporation. All rights reserved. Altera,

More information

isplever Correlator IP Core User s Guide June 2008 ipug38_02.3

isplever Correlator IP Core User s Guide June 2008 ipug38_02.3 isplever TM CORE June 2008 ipug38_02.3 Introduction The function of this core is to correlate an incoming data stream to a stored binary pattern called a code sequence or coefficient sequence. The data

More information

LogiCORE IP Floating-Point Operator v6.2

LogiCORE IP Floating-Point Operator v6.2 LogiCORE IP Floating-Point Operator v6.2 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Unsupported Features..............................................................

More information

Design Space Exploration: Implementing a Convolution Filter

Design Space Exploration: Implementing a Convolution Filter Design Space Exploration: Implementing a Convolution Filter CS250 Laboratory 3 (Version 101012) Written by Rimas Avizienis (2012) Overview This goal of this assignment is to give you some experience doing

More information

CME FIFO with AHB interface. Design Example

CME FIFO with AHB interface. Design Example CME FIFO with AHB interface Design Example User Guide 06/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 10 3.1 Example

More information

FIR Compiler II User Guide

FIR Compiler II User Guide FIR Compiler II 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01072-9.2 Document last updated for Altera Complete Design Suite version: Document publication date: 14.1 Arria 10 Edition August

More information

PROJECT REPORT IMPLEMENTATION OF LOGARITHM COMPUTATION DEVICE AS PART OF VLSI TOOLS COURSE

PROJECT REPORT IMPLEMENTATION OF LOGARITHM COMPUTATION DEVICE AS PART OF VLSI TOOLS COURSE PROJECT REPORT ON IMPLEMENTATION OF LOGARITHM COMPUTATION DEVICE AS PART OF VLSI TOOLS COURSE Project Guide Prof Ravindra Jayanti By Mukund UG3 (ECE) 200630022 Introduction The project was implemented

More information

FIR Compiler. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

FIR Compiler. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) FIR Compiler MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Core Version: 2.7.0 Document Version: 2.7.0 rev. 1 Document Date: July 2003 Copyright FIR

More information

LogiCORE IP FIR Compiler v6.2

LogiCORE IP FIR Compiler v6.2 DS795 March 1, 2011 Overview The Xilinx LogiCORE IP FIR Compiler core provides a common interface for users to generate highly parameterizable, area-efficient high-performance FIR filters. Features Drop-in

More information

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 3.0 Document Date: July 2008 Copyright 2008 Altera

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 2/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Digital Signal

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering Winter/Summer Training Level 2 continues. 3 rd Year 4 th Year FIG-3 Level 1 (Basic & Mandatory) & Level 1.1 and

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

Creating a Processor System Lab

Creating a Processor System Lab Lab Workbook Introduction This lab introduces a design flow to generate a IP-XACT adapter from a design using Vivado HLS and using the generated IP-XACT adapter in a processor system using IP Integrator

More information

2D Edge Detector IP Core User s Guide

2D Edge Detector IP Core User s Guide 2D Edge Detector IP Core User s Guide February 2011 IPUG86_01.0 Table of Contents Chapter 1. Introduction... 3 Quick Facts... 3 Features... 3 Chapter 2. Functional Description... 4 Key Concepts... 4 Block

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Introduction to DSP/FPGA Programming Using MATLAB Simulink

Introduction to DSP/FPGA Programming Using MATLAB Simulink دوازدهمين سمينار ساليانه دانشكده مهندسي برق فناوری های الکترونيک قدرت اسفند 93 Introduction to DSP/FPGA Programming Using MATLAB Simulink By: Dr. M.R. Zolghadri Dr. M. Shahbazi N. Noroozi 2 Table of main

More information

Advanced Synthesis Techniques

Advanced Synthesis Techniques Advanced Synthesis Techniques Reminder From Last Year Use UltraFast Design Methodology for Vivado www.xilinx.com/ultrafast Recommendations for Rapid Closure HDL: use HDL Language Templates & DRC Constraints:

More information

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description 0 3GPP2 Turbo Decoder v1.0 DS275 April 28, 2005 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Virtex -II and Virtex-II Pro FPGAs Implements the CDMA2000/3GPP2 specification[1] Core contains the

More information

Assignment 01 Computer Architecture Lab ECSE

Assignment 01 Computer Architecture Lab ECSE Assignment 01 Computer Architecture Lab ECSE 487-001 Date due: September 22, 2006, Trottier Assignment Box by 14:30 1 Introduction The purpose of this assignment is to re-familiarize the student with VHDL

More information

Assignment 1. ECSE-487 Computer archecture Lab. Due date: September 21, 2007, Trottier Assignment Box by 14:30

Assignment 1. ECSE-487 Computer archecture Lab. Due date: September 21, 2007, Trottier Assignment Box by 14:30 Assignment 1 ECSE-487 Computer archecture Lab Due date: September 21, 2007, Trottier Assignment Box by 14:30 1 Introduction The purpose of this assignment is to re-familiarize the student with VHDL and

More information

D. Richard Brown III Associate Professor Worcester Polytechnic Institute Electrical and Computer Engineering Department

D. Richard Brown III Associate Professor Worcester Polytechnic Institute Electrical and Computer Engineering Department D. Richard Brown III Associate Professor Worcester Polytechnic Institute Electrical and Computer Engineering Department drb@ece.wpi.edu 3-November-2008 Analog To Digital Conversion analog signal ADC digital

More information

FPGA Matrix Multiplier

FPGA Matrix Multiplier FPGA Matrix Multiplier In Hwan Baek Henri Samueli School of Engineering and Applied Science University of California Los Angeles Los Angeles, California Email: chris.inhwan.baek@gmail.com David Boeck Henri

More information

FPGA Based FIR Filter using Parallel Pipelined Structure

FPGA Based FIR Filter using Parallel Pipelined Structure FPGA Based FIR Filter using Parallel Pipelined Structure Rajesh Mehra, SBL Sachan Electronics & Communication Engineering Department National Institute of Technical Teachers Training & Research Chandigarh,

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary Common Sub-Expression Elimination Algorithm

An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary Common Sub-Expression Elimination Algorithm Volume-6, Issue-6, November-December 2016 International Journal of Engineering and Management Research Page Number: 229-234 An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary

More information

Number Systems. Readings: , Problem: Implement simple pocket calculator Need: Display, adders & subtractors, inputs

Number Systems. Readings: , Problem: Implement simple pocket calculator Need: Display, adders & subtractors, inputs Number Systems Readings: 3-3.3.3, 3.3.5 Problem: Implement simple pocket calculator Need: Display, adders & subtractors, inputs Display: Seven segment displays Inputs: Switches Missing: Way to implement

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

Lab 3: Simulation and Testing

Lab 3: Simulation and Testing Lab 3: Simulation and Testing University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems Ronald Fearing,

More information

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM CHAPTER 4 IMPLEMENTATION OF DIGITAL UPCONVERTER AND DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM 4.1 Introduction FPGAs provide an ideal implementation platform for developing broadband wireless systems such

More information

January 1996, ver. 1 Functional Specification 1

January 1996, ver. 1 Functional Specification 1 FIR Filters January 1996, ver. 1 Functional Specification 1 Features High-speed operation: up to 105 million samples per second (MSPS) -, 16-, 24-, 32-, and 64-tap finite impulse response (FIR) filters

More information

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter African Journal of Basic & Applied Sciences 9 (1): 53-58, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.53.58 Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm

More information

Two High Performance Adaptive Filter Implementation Schemes Using Distributed Arithmetic

Two High Performance Adaptive Filter Implementation Schemes Using Distributed Arithmetic Two High Performance Adaptive Filter Implementation Schemes Using istributed Arithmetic Rui Guo and Linda S. ebrunner Abstract istributed arithmetic (A) is performed to design bit-level architectures for

More information

Outline. Introduction to Structured VLSI Design. Signed and Unsigned Integers. 8 bit Signed/Unsigned Integers

Outline. Introduction to Structured VLSI Design. Signed and Unsigned Integers. 8 bit Signed/Unsigned Integers Outline Introduction to Structured VLSI Design Integer Arithmetic and Pipelining Multiplication in the digital domain HW mapping Pipelining optimization Joachim Rodrigues Signed and Unsigned Integers n-1

More information

Introduction to High level. Synthesis

Introduction to High level. Synthesis Introduction to High level Synthesis LISHA/UFSC Prof. Dr. Antônio Augusto Fröhlich Tiago Rogério Mück http://www.lisha.ufsc.br/~guto June 2007 http://www.lisha.ufsc.br/ 1 What is HLS? Example: High level

More information

AN 464: DFT/IDFT Reference Design

AN 464: DFT/IDFT Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents About the DFT/IDFT Reference Design... 3 Functional Description for the DFT/IDFT Reference Design... 4 Parameters for the

More information

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies VLSI IMPLEMENTATION OF HIGH PERFORMANCE DISTRIBUTED ARITHMETIC (DA) BASED ADAPTIVE FILTER WITH FAST CONVERGENCE FACTOR G. PARTHIBAN 1, P.SATHIYA 2 PG Student, VLSI Design, Department of ECE, Surya Group

More information

Microcomputers. Outline. Number Systems and Digital Logic Review

Microcomputers. Outline. Number Systems and Digital Logic Review Microcomputers Number Systems and Digital Logic Review Lecture 1-1 Outline Number systems and formats Common number systems Base Conversion Integer representation Signed integer representation Binary coded

More information

APPLICATION NOTE. Block Adaptive Filter. General Description of Adaptive Filters. Adaptive Filter Design Overview

APPLICATION NOTE. Block Adaptive Filter. General Description of Adaptive Filters. Adaptive Filter Design Overview APPLICATION NOTE Block Adaptive Filter XAPP 055 January 9, 1997 (Version 1.1) Application Note by Bill Allaire and Bud Fischer Summary This application note describes a specific design for implementing

More information

DESIGN OF A COMPOSITE ARITHMETIC UNIT FOR RATIONAL NUMBERS

DESIGN OF A COMPOSITE ARITHMETIC UNIT FOR RATIONAL NUMBERS DESIGN OF A COMPOSITE ARITHMETIC UNIT FOR RATIONAL NUMBERS Tomasz Pinkiewicz, Neville Holmes, and Tariq Jamil School of Computing University of Tasmania Launceston, Tasmania 7250 AUSTRALIA Abstract: As

More information

Design of Arithmetic circuits

Design of Arithmetic circuits Design of Arithmetic circuits ic principle of pipelining ditional approach Input Data clk Process < 100 ns Through 10 MH elining approach Throughput considerably. increases Chip area also increases. Latency

More information

MDIO Master and Slave Controllers

MDIO Master and Slave Controllers November 2013 Introduction Reference Design RD1194 Management Data Input/Output Interfaces, or, are specified in the IEEE 802.3 standard and intended to provide a serial interface to transfer management

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Median Filter IP Core User s Guide

Median Filter IP Core User s Guide Median Filter IP Core User s Guide December 2010 IPUG87_01.0 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 4 Chapter 2. Functional Description... 6 Key Concepts... 6 Block

More information

Color Space Converter IP Core User Guide

Color Space Converter IP Core User Guide Color Space Converter IP Core User Guide April 2015 IPUG63_2.0 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 6 Chapter 2. Functional Description... 7 Color Spaces... 7 Block

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008

More information

Number Systems and Computer Arithmetic

Number Systems and Computer Arithmetic Number Systems and Computer Arithmetic Counting to four billion two fingers at a time What do all those bits mean now? bits (011011011100010...01) instruction R-format I-format... integer data number text

More information

Intel Stratix 10 Variable Precision DSP Blocks User Guide

Intel Stratix 10 Variable Precision DSP Blocks User Guide Intel Stratix 10 Variable Precision DSP Blocks User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

Digital Signal Processing Introduction to Finite-Precision Numerical Effects

Digital Signal Processing Introduction to Finite-Precision Numerical Effects Digital Signal Processing Introduction to Finite-Precision Numerical Effects D. Richard Brown III D. Richard Brown III 1 / 9 Floating-Point vs. Fixed-Point DSP chips are generally divided into fixed-point

More information

Binary Addition. Add the binary numbers and and show the equivalent decimal addition.

Binary Addition. Add the binary numbers and and show the equivalent decimal addition. Binary Addition The rules for binary addition are 0 + 0 = 0 Sum = 0, carry = 0 0 + 1 = 0 Sum = 1, carry = 0 1 + 0 = 0 Sum = 1, carry = 0 1 + 1 = 10 Sum = 0, carry = 1 When an input carry = 1 due to a previous

More information

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 3.1 Introduction The various sections

More information

ECE 5760 Lab 0. Submitted 09/11/2009

ECE 5760 Lab 0. Submitted 09/11/2009 ECE 5760 Lab 0 Submitted 09/11/2009 1. Introduction The purpose of Lab 0 was to develop familiarity with the lab equipment, Altera hardware, and design software by creating a simple wave generator. The

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

D. Richard Brown III Professor Worcester Polytechnic Institute Electrical and Computer Engineering Department

D. Richard Brown III Professor Worcester Polytechnic Institute Electrical and Computer Engineering Department D. Richard Brown III Professor Worcester Polytechnic Institute Electrical and Computer Engineering Department drb@ece.wpi.edu Lecture 2 Some Challenges of Real-Time DSP Analog to digital conversion Are

More information

isplever Reed-Solomon Encoder User s Guide October 2005 ipug05_03.0

isplever Reed-Solomon Encoder User s Guide October 2005 ipug05_03.0 isplever TM CORE Reed-Solomon Encoder User s Guide October 2005 ipug05_03.0 Introduction Lattice s Reed-Solomon Encoder core provides an ideal solution that meets the needs of today s Reed-Solomon applications.

More information

Binary Adders. Ripple-Carry Adder

Binary Adders. Ripple-Carry Adder Ripple-Carry Adder Binary Adders x n y n x y x y c n FA c n - c 2 FA c FA c s n MSB position Longest delay (Critical-path delay): d c(n) = n d carry = 2n gate delays d s(n-) = (n-) d carry +d sum = 2n

More information

Don t expect to be able to write and debug your code during the lab session.

Don t expect to be able to write and debug your code during the lab session. EECS150 Spring 2002 Lab 4 Verilog Simulation Mapping UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping

More information

INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS.

INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS. INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS Arulalan Rajan 1, H S Jamadagni 1, Ashok Rao 2 1 Centre for Electronics Design and Technology, Indian Institute of Science, India (mrarul,hsjam)@cedt.iisc.ernet.in

More information

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz

VERILOG 5: TESTING. If you don t test it, it isn t going to work - Mark Horowitz VERILOG 5: TESTING If you don t test it, it isn t going to work - Mark Horowitz Testing Hardware blocks (*.v) Will be synthesized into hardware circuits Testing blocks (*.vt OR *_tb.v OR tb_*.v) Also called

More information

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs P. Banerjee Department of Electrical and Computer Engineering Northwestern University 2145 Sheridan Road, Evanston, IL-60208 banerjee@ece.northwestern.edu

More information