HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

Size: px
Start display at page:

Download "HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution"

Transcription

1 HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution Kunal Varshney, Open-Silicon Ganesh Venkatkrishnan, Open-Silicon Pankaj Prajapati, Open-Silicon May 9, 9,

2 Agenda High Bandwidth Memory (HBM) Basics Chip Introduction & Motivation Challenges HEAT Features Conclusion 2

3 JEDEC standard Understanding HBM DRAM embedded in ASIC Package (Stacked Memory Dies) Backed by AMD, SK-Hynix, Samsung, nvidia 2.5D Interposer based ASIC implementation Initial adoption expected in GPU & HPC markets, now followed by Networking HBM Gen2 JEDEC specifications: 8 Gb per DRAM die 2 Gbps/pin (DDR) 4/8 High stack for 4GB/8GB density 256 GB/s total bandwidth 3 3

4 Understanding HBM From Memory Vendor Developed at Open-Silicon 4 4

5 Chip Introduction & Motivation HBM Test Chip Technology node : 16nm FF from TSMC 2.5D Interposer technology HBM Memory : SK-Hynix Key Motivation: On-chip Silicon Validation of in-house HBM capabilities HBM Protocol Controller HBM PHY D2D IOs 5 5

6 Chip Block Diagram Package Logic Die JTAG 5 TAPSEL_EN Padring.v HEAT Jtag_2_csr(C) Controller CSR i/f hbm_top.v HBM PHY HBM reset to memory Clock/Reset Signals Clk_CNTRL/ DEBUG GPIO Tap Controller Jtag_2_uif AUTO AUTO AUTO BIST AUTO BIST BIST BIST UIF 0 UIF 1 UIF 2 UIF 3 HBM Controller IO PADS IEEE 1500 HBM D2D Channel 0 HBM D2D Channel 1 HBM Memory DIE Reset De-assertion clk_reset_ctrl.v PLL Reset Chatter Soc reset HBM_clk Reset De-assertion PLL DFT Speed Test Module/ IO DA

7 Challenges At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 7 7

8 HEAT Architecture 8 8

9 Functional Testing Traffic generation Galois LFSRs used Modes of operation: BW: WWWW.. BR: RRRRR... RAW: WRWRWR. WWRRWWRR. Control on DATA/ADDR Constant, INC/DEC by N, Random, W0, W1, CB Scenarios: Memory sweep, Bank Switching, Max Latency, Max BW etc. Data Integrity Wr_data stored in 16 deep CAM. ID used as addr of CAM Single cycle data comparator when rd response is received. 9 9

10 Challenges At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 10 10

11 Performance Measurement Latency: Min latency with transaction details Max latency with transaction details Avg Latency Bandwidth: Total number of clks taken by a sequence. Configurable Timeout Value and Timeout status. Byte wise Granularity in LFSR to reduce Power consumption 11 11

12 Challenges At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 12 12

13 Package IO Count Test Chip : Limited Package IO availability JTAG Selected as i/f for Programming the HEAT Functional JTAG and DFT JTAG Muxed Functional IO Muxing. Same IO used as i/p during chip reset and o/p after chip reset Inputs from board used for fall-back chip booting options

14 Challenges At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 14 14

15 Functional Debug Number of UIF read/write errors received Number of Data Mismatch errors with Expected data and actual data received on UIF Info of first failed transaction Directed Mode Single or upto 4 transactions (read or write) User can create it s own transaction Bitwise Data masking to pin-point single bit errors in data stream Option of stop/continue on error

16 Challenges At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 16 16

17 User Interface Debug Bus Monitor design Monitors HEAT engine output and UIF Bus Helpful in Debugging on-chip failures Multiple mode of operations Bus Capture Transaction recorder Error recorder 17 17

18 Challenges At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 18 18

19 Testing Logic Die before Assembly Loopback Handles for testing without memory Point of Loopback :» Core Side Loopback (before D2D IO)» IO loopback (Loopback using D2D IO) Loopback Transaction generation:» UIF level Loopback» DFI-i (input of PHY) level Address loopback» DFI-i (input of PHY) level Data loopback 19 19

20 Conclusion At speed Functional Testing (1Ghz) At speed Traffic Generation ( mimicking system traffic) Single cycle data integrity check Performance Measurement Power aware Design Minimal Package IO Count Fall-back chip booting options Functional Debug User Interface Debug Testing Logic die before Assembly 20 20

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Introduction. SK hynix

Introduction. SK hynix It was very informative. I had a lot of questions answered. It was a good assembly of design and manufacturing elements. I learned a lot that I didn t know. It s good to hear that TSVs are ready for HBM.

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Start Your HBM/2.5D Design Today

Start Your HBM/2.5D Design Today Kevin Tran SK hynix Inc. Paul Silvestri Amkor Technology, Inc. Bill Isaacson esilicon Corporation Brian Daellenbach Northwest Logic Chris Browy Avery Design Systems Executive Summary High-bandwidth memory

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

EE 457 Unit 7b. Main Memory Organization

EE 457 Unit 7b. Main Memory Organization 1 EE 457 Unit 7b Main Memory Organization 2 Motivation Organize main memory to Facilitate byte-addressability while maintaining Efficient fetching of the words in a cache block Low order interleaving (L.O.I)

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Emerging NVM Features

Emerging NVM Features Emerging NVM Features For Emerging NVM Interface (I/F)s Thomas Won Ha Choi SK hynix Santa Clara, CA 1 Introductions Thomas Won Ha Choi Senior Engineer, SK hynix DRAM Server Product Planning Specialties:

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

Sophon SC1 White Paper

Sophon SC1 White Paper Sophon SC1 White Paper V10 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved Version Update Content Release Date V10-2017/10/25 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, DRAM Bandwidth

Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, DRAM Bandwidth Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, 2007-2016 DRAM Bandwidth MEMORY ACCESS PERFORMANCE Objective To learn that memory bandwidth is a first-order performance factor in

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management Next-Generation Mobile Computing: Balancing Performance and Power Efficiency HOT CHIPS 19 Jonathan Owen, AMD Agenda The mobile computing evolution The Griffin architecture Memory enhancements Power management

More information

The 3D-Memory Evolution

The 3D-Memory Evolution The 3D-Memory Evolution ISC 2015 /, Director Marcom + SBD EMEA Legal Disclaimer This presentation is intended to provide information concerning computer and memory industries. We do our best to make sure

More information

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Takashi Yamada Chief Architect, System LSI Business Division Mobile Forum 2014 Copyright 2014 - Panasonic Agenda 4K (UHD) market and changes

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES Greg Hankins APRICOT 2012 2012 Brocade Communications Systems, Inc. 2012/02/28 Lookup Capacity and Forwarding

More information

Agile Hardware Design: Building Chips with Small Teams

Agile Hardware Design: Building Chips with Small Teams 2017 SiFive. All Rights Reserved. Agile Hardware Design: Building Chips with Small Teams Yunsup Lee ASPIRE Graduate 2016 Co-Founder and CTO 2 2017 SiFive. All Rights Reserved. World s First Single-Chip

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

MAS060X. SATA III To Flash Controller. Data Sheet 2015 年 10 月. Document No.: DAT-0151-A01

MAS060X. SATA III To Flash Controller. Data Sheet 2015 年 10 月. Document No.: DAT-0151-A01 MAS060X SATA III To Flash Controller Data Sheet Document No.: DAT-0151-A01 2015 年 10 月 DAT-0151-A01 March 10, 2015, Maxio Technology (Hangzhou) Ltd. All Rights Reserved. No part of this publication may

More information

genzconsortium.org Gen-Z Technology: Enabling Memory Centric Architecture

genzconsortium.org Gen-Z Technology: Enabling Memory Centric Architecture Gen-Z Technology: Enabling Memory Centric Architecture Why Gen-Z? Gen-Z Consortium 2017 2 Why Gen-Z? Gen-Z Consortium 2017 3 Why Gen-Z? Businesses Need to Monetize Data Big Data AI Machine Learning Deep

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

Serial Memories Fill a Need. Memcon 2015

Serial Memories Fill a Need. Memcon 2015 Serial Memories Fill a Need Memcon 2015 Agenda v Michael Sporer Director of Marketing The future of parallel versus serial interface for memory v Mark Baumann Director of Applications Engineering Based

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

Green Memory Solution. Jung-Bae Lee

Green Memory Solution. Jung-Bae Lee Green Memory Solution Jung-Bae Lee Contents Introduction Data Explosion and Data Center Expansion Data Center Issues: Power & Performance Samsung Green Memory Solution History of Green Memory Memory &

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC4-2133 CL 15-15-15 General Description This Legacy device is a JEDEC standard unbuffered SO-DIMM module, based on CMOS DDR4 SDRAM technology,

More information

OpenCAPI Technology. Myron Slota Speaker name, Title OpenCAPI Consortium Company/Organization Name. Join the Conversation #OpenPOWERSummit

OpenCAPI Technology. Myron Slota Speaker name, Title OpenCAPI Consortium Company/Organization Name. Join the Conversation #OpenPOWERSummit OpenCAPI Technology Myron Slota Speaker name, Title OpenCAPI Consortium Company/Organization Name Join the Conversation #OpenPOWERSummit Industry Collaboration and Innovation OpenCAPI Topics Computation

More information

P High Speed JTAG debug using a fire hose rather than a straw

P High Speed JTAG debug using a fire hose rather than a straw P1149.10 High Speed JTAG debug using a fire hose rather than a straw CJ Clark, Intellitech CEO Chair, P1149.10 Past Chair, IEEE 1149.1 2013 1 Some basics using 1149.1 2013 What's coming P1149.10 High Speed

More information

Enabling TB-Class and GB/s-Performance SSDs with HLNAND

Enabling TB-Class and GB/s-Performance SSDs with HLNAND Enabling TB-Class and GB/s-Performance SSDs with HLNAND November 2011 Agenda Introduction HyperLink NAND (HLNAND) Flash Technology Signal Integrity Summary 2 NAND Flash History Vendor and product differentiation

More information

t RP Clock Frequency (max.) MHz

t RP Clock Frequency (max.) MHz 3.3 V 32M x 64/72-Bit, 256MByte SDRAM Modules 168-pin Unbuffered DIMM Modules 168 Pin unbuffered 8 Byte Dual-In-Line SDRAM Modules for PC main memory applications using 256Mbit technology. PC100-222, PC133-333

More information

Boundary Scan: Technology Update

Boundary Scan: Technology Update ASSET InterTech, Inc. Boundary Scan: Technology Update Doug Kmetz Sales Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting May 5, 2010 Overview ASSET InterTech Driving Embedded Instrumentation

More information

GPU Architecture. Alan Gray EPCC The University of Edinburgh

GPU Architecture. Alan Gray EPCC The University of Edinburgh GPU Architecture Alan Gray EPCC The University of Edinburgh Outline Why do we want/need accelerators such as GPUs? Architectural reasons for accelerator performance advantages Latest GPU Products From

More information

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire MCF5307 DRAM CONTROLLER MCF5307 DRAM CTRL 1-1 MCF5307 DRAM CONTROLLER MCF5307 MCF5307 DRAM Controller I Addr Gen Supports 2 banks of DRAM Supports External Masters Programmable Wait States & Refresh Timer

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Low Power & High Bandwidth Memory Trend

Low Power & High Bandwidth Memory Trend Low Power & High Bandwidth Memory Trend JEDEC Mobile & IOT Forum Copyright 2016 [ SK hynix / Sungmin(Sam) Park] Where Do We Stand? SK hynix Developed the World s First Next Generation Mobile Memory: LPDDR4

More information

Features. DDR3 Registered DIMM Spec Sheet

Features. DDR3 Registered DIMM Spec Sheet Features DDR3 functionality and operations supported as defined in the component data sheet 240-pin, Registered Dual In-line Memory Module (RDIMM) Fast data transfer rates: PC3-8500, PC3-10600, PC3-12800

More information

DDR3 DIMM Slot Interposer

DDR3 DIMM Slot Interposer DDR3 DIMM Slot Interposer DDR3 1867 Digital Validation High Speed DDR3 Digital Validation Passive 240 pin DIMM Slot Interposer Custom Designed for Agilent Logic Analyzers Compatible with Agilent Software

More information

Looking Ahead to Higher Performance SSDs with HLNAND

Looking Ahead to Higher Performance SSDs with HLNAND Looking Ahead to Higher Performance SSDs with HLNAND Roland Schuetz Director, Applications & Business Initiatives MOSAID Technologies Inc. Soogil Jeong Vice President, Engineering INDILINX Infrastructure

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8 DESCRIPTION The Ramaxel RML1531MH48D8F memory module family are low profile Unbuffered DIMM modules with 30.48mm height based DDR2 technology. DIMMs are available as ECC (x72) modules. The module family

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

High Performance DDR4 interfaces with FPGA Flexibility. Adrian Cosoroaba and Terry Magee Xilinx, Inc.

High Performance DDR4 interfaces with FPGA Flexibility. Adrian Cosoroaba and Terry Magee Xilinx, Inc. High Performance DDR4 interfaces with FPGA Flexibility Adrian Cosoroaba and Terry Magee Xilinx, Inc AGENDA System Requirements for FPGA based systems Higher Bandwidth, Increased Flexibility, Lower Power

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s

Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s January 2015 Jennie Grosslight Product Manager Agenda Overview Benefits and challenges for DDR4 and LPDDR4 >2400Mb/s Breakthrough Insight

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B. Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.5) Memory Technologies Dynamic Random Access Memory (DRAM) Optimized

More information

CSE 599 I Accelerated Computing - Programming GPUS. Memory performance

CSE 599 I Accelerated Computing - Programming GPUS. Memory performance CSE 599 I Accelerated Computing - Programming GPUS Memory performance GPU Teaching Kit Accelerated Computing Module 6.1 Memory Access Performance DRAM Bandwidth Objective To learn that memory bandwidth

More information

A 1.5GHz Third Generation Itanium Processor

A 1.5GHz Third Generation Itanium Processor A 1.5GHz Third Generation Itanium Processor Jason Stinson, Stefan Rusu Intel Corporation, Santa Clara, CA 1 Outline Processor highlights Process technology details Itanium processor evolution Block diagram

More information

HRL: Efficient and Flexible Reconfigurable Logic for Near-Data Processing

HRL: Efficient and Flexible Reconfigurable Logic for Near-Data Processing HRL: Efficient and Flexible Reconfigurable Logic for Near-Data Processing Mingyu Gao and Christos Kozyrakis Stanford University http://mast.stanford.edu HPCA March 14, 2016 PIM is Coming Back End of Dennard

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 7 September 2018 Announcements Reminder: The class notes are posted to the website. HW#1 will

More information

NVIDIA Tesla P100 GPU with HBM2

NVIDIA Tesla P100 GPU with HBM2 NVIDIA Tesla P100 GPU with HBM2 2.5D & 3D Packaging TSMC CWS Samsung HBM2 Adv. Packaging reprt by Rmain FRAUX August 2017 Versin 1 21 rue la Nue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 inf@systemplus.fr

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports Takeshi Shimizu, Yukihiro Nakagawa, Sridhar Pathi, Yasushi Umezawa, Takashi Miyoshi, Yoichi Koyanagi, Takeshi Horie, Akira Hattori Hot

More information

Sort vs. Hash Join Revisited for Near-Memory Execution. Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot

Sort vs. Hash Join Revisited for Near-Memory Execution. Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot Sort vs. Hash Join Revisited for Near-Memory Execution Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot 1 Near-Memory Processing (NMP) Emerging technology Stacked memory: A logic die w/ a stack

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 6: DDR, DDR2 and DDR-3 SDRAM Memory Modules Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

Building NVLink for Developers

Building NVLink for Developers Building NVLink for Developers Unleashing programmatic, architectural and performance capabilities for accelerated computing Why NVLink TM? Simpler, Better and Faster Simplified Programming No specialized

More information

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems Intelligent Interconnect for Autonomous Vehicle SoCs Sam Wong / Chi Peng, NetSpeed Systems Challenges Facing Autonomous Vehicles Exploding Performance Requirements Real-Time Processing of Sensors Ultra-High

More information

EFFICIENTLY ENABLING CONVENTIONAL BLOCK SIZES FOR VERY LARGE DIE- STACKED DRAM CACHES

EFFICIENTLY ENABLING CONVENTIONAL BLOCK SIZES FOR VERY LARGE DIE- STACKED DRAM CACHES EFFICIENTLY ENABLING CONVENTIONAL BLOCK SIZES FOR VERY LARGE DIE- STACKED DRAM CACHES MICRO 2011 @ Porte Alegre, Brazil Gabriel H. Loh [1] and Mark D. Hill [2][1] December 2011 [1] AMD Research [2] University

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

U4421A MIPI D-PHY (CSI-2/DSI) Protocol Exerciser and Analyzer. Bring your CSI-2 and DSI-1 designs to market faster with complete confidence

U4421A MIPI D-PHY (CSI-2/DSI) Protocol Exerciser and Analyzer. Bring your CSI-2 and DSI-1 designs to market faster with complete confidence U4421A MIPI D-PHY (CSI-2/DSI) Protocol Exerciser and Analyzer Bring your CSI-2 and DSI-1 designs to market faster with complete confidence Agilent s MIPI Solutions Application Protocol Standard CSI-2 camera

More information

The iflow Address Processor Forwarding Table Lookups using Fast, Wide Embedded DRAM

The iflow Address Processor Forwarding Table Lookups using Fast, Wide Embedded DRAM Enabling the Future of the Internet The iflow Address Processor Forwarding Table Lookups using Fast, Wide Embedded DRAM Mike O Connor - Director, Advanced Architecture www.siliconaccess.com Hot Chips 12

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Samsung Memory DDR4 SDRAM

Samsung Memory DDR4 SDRAM Samsung Memory SDRAM The new generation of high-performance, power-efficient memory that delivers great reliability for enterprise applications 205 Samsung Electronics Co. Brochure An optimized memory

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Silicon Media Limited. C4 2.5 SATA Solid State Drive Specification (7mm & 9mm height compatible) SMSS325MxxxG-C4-x

Silicon Media Limited. C4 2.5 SATA Solid State Drive Specification (7mm & 9mm height compatible) SMSS325MxxxG-C4-x Silicon Media Limited C4 2.5 SATA Specification (7mm & 9mm height compatible) SMSS325MxxxG-C4-x Version 1.2 Document Number: S-12157 ALL RIGHTS ARE STRICTLY RESERVED. ANY PORTION OF THIS PAPER SHALL NOT

More information

A 400Gbps Multi-Core Network Processor

A 400Gbps Multi-Core Network Processor A 400Gbps Multi-Core Network Processor James Markevitch, Srinivasa Malladi Cisco Systems August 22, 2017 Legal THE INFORMATION HEREIN IS PROVIDED ON AN AS IS BASIS, WITHOUT ANY WARRANTIES OR REPRESENTATIONS,

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

SRC 3D Summit. Bob Patti, CTO

SRC 3D Summit. Bob Patti, CTO SRC 3D Summit Bob Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm 28nm 22nm 16nm 2 How Real is 3D??? 560μ Samsung 16Gb

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor

Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor Part 2: Implementation of multiprotocol industrial communications solutions Training series

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 17

ECE 571 Advanced Microprocessor-Based Design Lecture 17 ECE 571 Advanced Microprocessor-Based Design Lecture 17 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 April 2018 HW8 is readings Announcements 1 More DRAM 2 ECC Memory There

More information

Memory Module Specifications KVR667D2Q8F5K2/8G. 8GB (4GB 512M x 72-Bit x 2 pcs.) PC CL5 ECC 240-Pin FBDIMM Kit DESCRIPTION SPECIFICATIONS

Memory Module Specifications KVR667D2Q8F5K2/8G. 8GB (4GB 512M x 72-Bit x 2 pcs.) PC CL5 ECC 240-Pin FBDIMM Kit DESCRIPTION SPECIFICATIONS Memory Module Specifications KVR667DQ8F5K/8G 8GB (4GB 5M x 7-Bit x pcs.) PC-5300 CL5 ECC 40- FBDIMM Kit DESCRIPTION s KVR667DQ8F5K/8G is a kit of two 4GB (5M x 7-bit) PC-5300 CL5 SDRAM (Synchronous DRAM)

More information

DDR4 Memory Technology on HP Z Workstations

DDR4 Memory Technology on HP Z Workstations Technical white paper DDR4 Memory Technology on HP Z Workstations DDR4 is the latest memory technology available for main memory on mobile, desktops, workstations, and server computers. DDR stands for

More information

ADPCM-HCO Voice Compression Logic Core

ADPCM-HCO Voice Compression Logic Core ADPCM-HCO Voice Compression Logic Core Functional Description The ADPCM-HCO logic core [Adaptive Differential Pulse Code Modulation-High Channel count Optimized] is a peripheral for digital voice compression

More information

Addressing the Memory Wall

Addressing the Memory Wall Lecture 26: Addressing the Memory Wall Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Tunes Cage the Elephant Back Against the Wall (Cage the Elephant) This song is for the

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Making Your Most Accurate DDR4 Compliance Measurements. Ai-Lee Kuan OPD Memory Product Manager

Making Your Most Accurate DDR4 Compliance Measurements. Ai-Lee Kuan OPD Memory Product Manager Making Your Most Accurate DDR4 Compliance Measurements Ai-Lee Kuan OPD Memory Product Manager 1 Agenda DDR4 Testing Strategy Probing Analysis Tool Compliance Test Conclusion 2 DDR4 Testing Strategy 1.

More information

HLNAND: A New Standard for High Performance Flash Memory

HLNAND: A New Standard for High Performance Flash Memory HLNAND: A New Standard for High Performance Flash Memory Peter Gillingham MOSAID Technologies Inc. gillingham@mosaid.com August 2008 1 Objectives Address performance and density requirements of Solid State

More information

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Organization Part II Ujjwal Guin, Assistant Professor Department of Electrical and Computer Engineering Auburn University, Auburn,

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Design and Implementation of High Performance DDR3 SDRAM controller

Design and Implementation of High Performance DDR3 SDRAM controller Design and Implementation of High Performance DDR3 SDRAM controller Mrs. Komala M 1 Suvarna D 2 Dr K. R. Nataraj 3 Research Scholar PG Student(M.Tech) HOD, Dept. of ECE Jain University, Bangalore SJBIT,Bangalore

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information