Analyze system performance using IWB. Interconnect Workbench Dave Huang

Size: px
Start display at page:

Download "Analyze system performance using IWB. Interconnect Workbench Dave Huang"

Transcription

1 Analyze system performance using IWB Interconnect Workbench Dave Huang 1

2 Information Personal peech of personal experience I am on behalf on myself

3 Interconnects Are at the Heart of odern ocs Verification Challenges: Checking system behavior Point to point data integrity Verify system behavior Quad Core Cortex -A15 Quad Core Cortex -A15 Understanding system scenarios anage data flow from multiple protocols Concurrent scenarios Cover all system scenarios Validate system performance

4 Analyzing Performance : Influence Quad core Cortex-A15 ACE ADB b ACE GIC-400 Quad core Cortex-A7 ACE ACE ali-t604 Graphics ADB-400 U b ACE-Lite + DV Coherent I/O device ADB-400 U b CoreLink CCI-400 Cache Coherent Interconnect 128 up to 0.5 Cortex-A15 frequency ACE-Lite 128b ACE-Lite PHY ADB b DDR3/2 LPDDR2 DC-400 ACE-Lite ACE-Lite 128b ACE-Lite PHY DDR3/2 LPDDR2 ACE-Lite + DV ACE-Lite 128b AXI4 Other laves DA AXI4 128b NIC-400 NIC-400 Configurable: AXI4/AXI3/AHB/APB Other laves LCD Configurable: AXI4/AXI3/AHB U-400 ACE-Lite + DV Thin Link Focus for performance of a path requires us to consider other masters that may influence the delay Hardware influences : performance. Thin links, NIC-400 Thin links, NIC-400 configuration, configuration, L2 Qo, cache L2 L2 peed, Cache cache DDR peed, Controller DDR Controller speed. speed. cenario influences : Local traffic conflict, ACE-Lite Traffic, Processor Activity odeling all these HW artifacts in TL is impractical. Accurate performance analysis must therefore use cycle-accurate RTL models

5 Cadence Interconnect Workbench Pre-integration Cycle-accurate Performance Analysis and Verification ystem IP Data UV Testbench Performance GUI CoreLink 400 ystem IP RTL & IP-XACT IP-specific Traffic Profiles Cadence VIP Library for ABA Interconnect Workbench Assembly anual oc Testbench oc Traffic Testbench Incisive Interconnect Workbench Analysis & Debug Performance easurements Performance Analysis Verification Closure Tune Architecture For Interconnect IP Integration Performance of use case traffic loads Verify configuration functionality For oc Integration Validate performance in context of IPs Benefits Ø horten performance tuning and analysis iteration loop from days to hours Ø Reduce testbench development time from weeks to hours

6 Cadence Interconnect Workbench Automated Testbench Assembly for CoreLink 400 ystem IP Architectural Information UV Testbench Testsuite CoreLink 400 ystem IP RTL & IP-XACT Interconnect Workbench Assembly vplan ABA Designer imvision config Cadence ABA VIP Library cripts User Configuration

7 IWB Generate Operation (Verification Testbench) <prefix>_nic400_mp5x8_(env tb) clk rst tb _nic400_mp5x8 clk rst tarting IWB: IWB: (c) Copyright 2012 Cadence... ######## IWB CONFIGURATION ######### library path set to project_libraries library name set to iva_nic400_mp5x8 XL file path set to <...>/nic400_mp5x8.xml target path set to fabric_target package prefix set to iva platform configured to UV_E I ###### TARTING GENERATION FLOW ####### ######### IPORTING THE DUT ########### ##### BUILDING THE HDL TETBENCH ###### ##### BUILDING THE UV TETBENCH ###### PAIVE Agent ACTIVE Agent RTL-shell AXI4 aster/lave Interface AXI3 aster/lave Interface AHB-Lite aster/lave Interface APB aster/lave Interface

8 IWB Generate Operation (Performance Testbench) <prefix>_nic400_mp5x8_(env tb) clk rst tb _nic400_mp5x8 clk rst tarting IWB: IWB: (c) Copyright 2012 Cadence... ######## IWB CONFIGURATION ######### library path set to project_libraries library name set to iva_nic400_mp5x8 XL file path set to <...>/nic400_mp5x8.xml target path set to fabric_target package prefix set to iva platform configured to UV_E I ###### TARTING GENERATION FLOW ####### ######### IPORTING THE DUT ########### ##### BUILDING THE HDL TETBENCH ###### ##### BUILDING THE UV TETBENCH ###### ### GENERATING VERIFICATION CONTENT ### ###### GENERATION FLOW COPLETE ####### Verification Content UV e/v Testbench VIP Configuration vplan (Perf) Test uite PAIVE Agent ACTIVE Agent RTL-shell Performance Generator AXI4 aster/lave Interface AXI3 aster/lave Interface AHB-Lite aster/lave Interface APB aster/lave Interface

9 Generate Interconnect Testbench RTL HVL CoreLink ABA Designer Generate Cascaded Interconnect NIC-400 Interconnect Workbench Testbench Generation CCI-400 VIP eta-data Library ystem Development uite Functional Verification Platform Incisive Verification Computing Platform Palladium XP

10 Generate Interconnect Testbench RTL HVL CoreLink ABA Designer Cascaded Interconnect Interconnect Workbench Testbench Generation IP-XACT Generate CCI-400 NIC-400 VIP eta-data Library Generated Testbench ystem Development uite Functional Verification Platform Incisive Verification Computing Platform Palladium XP

11 Generate Interconnect Testbench RTL HVL CoreLink ABA Designer Performance etrics Verification etrics VIP Cascaded Interconnect Interconnect Workbench IP-XACT IC NIC-400 Testbench Generation Generate IC CCI-400 VIP eta-data Library Virtual equence Routing odel Generated Testbench ystem Development uite Functional Verification Platform Incisive Verification Computing Platform Palladium XP

12 Cadence Interconnect Workbench Automated Testbench with Normal IWB flow Architectural Information UV Testbench Testsuite CoreLink 400 ystem IP RTL & IP-XACT Interconnect Workbench Assembly vplan ABA Designer imvision config Cadence ABA VIP Library cripts User Configuration

13 Cadence Interconnect Workbench Automated Testbench with New IWB Flow Architectural Information UV Testbench Testsuite eta Data file Interconnect Workbench Assembly vplan tandard Format imvision config Cadence ABA VIP Library cripts User Configuration

14 Why is A New Flow Needed? odified ABA buses are used to save power consumption and improve performance. Every customer has every specific feature on interconnect structure such as memory interleaving and (ABA + NOC). IP-XACT can t handle customized buses & specific interconnect structure.

15 How to Create Real Transactions? ost of masters(ultimedia IPs) generate periodic transactions at real working Read buffer Write buffer Require specific traffic generator to create periodic transactions Traffic ynthesizer can mimic the real master s working AXI Protocol Abstractor Traffic ynthesizer

16 cenarios for Performance Analysis Why is the user interested in the worst case scenario? Define Hardware pecification Need the various scenarios Look for optimized using modes considering DVF and Qo earch for an optimized interconnect structure. Various cenarios help the user find some weak points of bandwidth and latency.

17 Worst Case cenario Example 3D Graphics with caling (How many f/s?) PEG4 Video (How many f/s?) How many windows are overlaid? inchae On creen Characters with RotaCng Camera is working with caling (How many f/s?)

18 Which aster & lave are Concerned about Performance Analysis? CPU Cluster Cortex- A15 Cortex- A15 Cortex- A15 Cortex- A15 L2 Cache CPU Cluster Cortex- A7 Cortex- A7 Cortex- A7 Cortex- A7 L2 Cache GPU FC CAIF CI DI Ethernet Display UB2 UB3 ATA Typical Wireless AR based oc Coherent oc Interconnect emory Controller DDR3 emory Funnel Non-coherent oc Interconnect Peripheral Fabric ystem Boot UART INTC Timer ultimedia asters GPU : 3D Graphics FC : PEG4 Video Display : Overlay Windows CAIF : caling, Rotating, Camera Interface Typical AR based oc Performance of the emory Funnel is key to system performance lave : emory Controller

19 Information for Traffic ynthesizer Read fps bytes/sec Write fps bytes/sec arsize arlength awsize awlength aster words 4 4words 4 aster words 4 aster words 4 aster words 4 aster words 4 aster words 4 aster words 4 aster words 4 aster words 4 4words 4 aster words 4 4words 4 aster words 4 4words 4 aster words 4 aster words 4 aster words 4 aster words 4 aster words 4 aster words 4 4words 4 aster words 4 4words 4

20 How to Analyze Performance

21 Overview of IPA hows which slave is popular hows overall transaccon data

22 aximum Latency in the Worst cenario Points the maximum latency hows the detailed informacon hows the overlapped transaccons

23 Compare with different Qo values - ame aster & ame cenario Qo value is High Two different Runs Qo value is Low

24 Checks with User Definition - Latency Added User s Checks ViolaCon TransacCons Each ViolaCon TransacCon

25 Checks with User Definition - Bandwidth Added User s Checks ViolaCon TransacCons Each ViolaCon TransacCon

26 Checking Read Latency Hit/iss Cache Hit latency Cache iss Latency how the detailed InformaCon

27 ummary Interconnect Workbench for oc Interconnect Verification, Performance Analysis Performance easurement and Analysis for oc Interconnect Explore performance aspects across multiple simulations, multiple scenarios Qo, Outstanding Transactions, Issuing Rate, etc To optimize interconnect Topology, Qo cheme, Transaction Buffer Depths, etc Visualize cycle-accurate performance against a variety of scenarios Assess the effect of different traffic scenarios on performance Automated Verification of oc Interconnect Quickly configure verification environment to the interconnect Run out-of-the-box tests on the generated interconnect Easily update environment to verify changes imic Real Transactions with Traffic ynthesizer Easily generate periodic transactions Easily implement the worst case scenario and analyze the performance

28 Q&A

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a MemCon 2014 October 15 th, 2014 Achieving End- to- E nd QoS Poonacha K ongetir a (poonacha@netspeedsystems.com) Problem Statement NetSpeed Platform Overview AGE NDA QoS Primer Achieving End- to- end QoS

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Génération de tests basés sur les modèles pour des systèmes sur puce avec cohérence de caches

Génération de tests basés sur les modèles pour des systèmes sur puce avec cohérence de caches Génération de tests basés sur les modèles pour des systèmes sur puce avec cohérence de s Massimo Zendri & Abderahman Kriouile STMicroelectronics DCG / IP dev / FVS Model based test generation for coherent

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK NGP-EVAL-0013 Date: 2010-12-20 Page: 1 of 7 Technical Note on NGP Verification Next Generation ultipurpose icroprocessor Contract: 22279/09/NL/JK Aeroflex Gaisler AB EA contract: 22279/09/NL/JK Deliverable:

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

ESL-Based Full System Simulation Platform

ESL-Based Full System Simulation Platform EL-Based Full ystem imulation latform 陳中和 Department of Electrical Engineering Institute of Computer and Communication Engineering National Cheng Kung University NCKU-CALab Term roject-reparation Lab1:

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Current and Next Generation LEON SoC Architectures for Space

Current and Next Generation LEON SoC Architectures for Space Current and Next Generation LEON oc Architectures for pace Flight oftware Workshop 2012 November 7 th, 2012 www.aeroflex.com/gaisler Presentation does not contain U Export controlled information (aka ITAR)

More information

Fast, Scalable and Energy Efficient IO Solutions: Accelerating infrastructure SoC time-to-market

Fast, Scalable and Energy Efficient IO Solutions: Accelerating infrastructure SoC time-to-market Fast, calable and Energy Efficient IO olutions: Accelerating infrastructure oc time-to-market ridhar Valluru Product Manager ARM Tech ymposia 2016 Intelligent Flexible Cloud calability and Flexibility

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

An approach to accelerate UVM based verification environment

An approach to accelerate UVM based verification environment An approach to accelerate UVM based verification environment Sachish Dhar DWIVEDI/Ravi Prakash GUPTA Hardware Emulation and Verification Solutions ST Microelectronics Pvt Ltd Outline Challenges in SoC

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

The Cortex-A15 Verification Story

The Cortex-A15 Verification Story The Cortex-A15 Verification Story Bill Greene Micah McDaniel December 7, 2011 1 2 WHAT IS CORTEX-A15? Cortex-A15: Next Generation Leadership Cortex-A class multi-processor 40bit physical addressing (1TB)

More information

Benefits of Network on Chip Fabrics

Benefits of Network on Chip Fabrics Benefits of Network on Chip Fabrics For Late Stage Design Changes, Adaptive QoS and Floorplan Selection Xavier Van Ruymbeke Senior Application Engineer, Arteris xavier.van-ruymbeke@arteris.com Arteris

More information

Next Generation Multipurpose Microprocessor. Activity Overview

Next Generation Multipurpose Microprocessor. Activity Overview Next Generation ultipurpose icroprocessor Activity Overview DAIA 2010 June 1st, 2010 www.aeroflex.com/gaisler Overview NGP is an EA activity developing a multi-core system with higher performance compared

More information

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India Verifying big.little using the Palladium XP Deepak Venkatesan Murtaza Johar ARM India 1 Agenda PART 1 big.little overview What is big.little? ARM Functional verification methodology System Validation System

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Title: Using Test-IP Based Verification Techniques in a UVM Environment

Title: Using Test-IP Based Verification Techniques in a UVM Environment Title: Using Test-IP Based Verification Techniques in a UVM Environment Vidya Bellippady Sundar Haran Jay O Donnell Microsemi Corporation Microsemi Corporation Mentor Graphics San Jose, CA Hyderabad, India

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Overcoming the Memory System Challenge in Dataflow Processing. Darren Jones, Wave Computing Drew Wingard, Sonics

Overcoming the Memory System Challenge in Dataflow Processing. Darren Jones, Wave Computing Drew Wingard, Sonics Overcoming the Memory System Challenge in Dataflow Processing Darren Jones, Wave Computing Drew Wingard, Sonics Current Technology Limits Deep Learning Performance Deep Learning Dataflow Graph Existing

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved.

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved. SoC Designer Version 9.2 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights reserved. 100992_0902_00 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

AC0446 Application Note Optimization Techniques to Improve DDR Throughput for RTG4 Devices - Libero SoC v11.8 SP2

AC0446 Application Note Optimization Techniques to Improve DDR Throughput for RTG4 Devices - Libero SoC v11.8 SP2 AC0446 Application Note Optimization Techniques to Improve DDR Throughput for RTG4 Devices - Libero SoC v11.8 SP2 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA:

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems Intelligent Interconnect for Autonomous Vehicle SoCs Sam Wong / Chi Peng, NetSpeed Systems Challenges Facing Autonomous Vehicles Exploding Performance Requirements Real-Time Processing of Sensors Ultra-High

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE Richa Sinha 1, Akhilesh Kumar 2 and Archana Kumari Sinha 3 1&2 Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India 3 Department of Physics,

More information

It's not about the core, it s about the system

It's not about the core, it s about the system It's not about the core, it s about the system Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Workshop 18 19 July 2018 Chennai, India Overview Architecture overview Example Scenarios

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

ARM CoreLink CCI-500 Cache Coherent Interconnect

ARM CoreLink CCI-500 Cache Coherent Interconnect ARM CoreLink CCI-500 Cache Coherent Interconnect Revision: r1p0 Technical Reference Manual Copyright 2014-2016 ARM. All rights reserved. ARM 100023_0100_00_en ARM CoreLink CCI-500 Cache Coherent Interconnect

More information

Building Blocks For System on a Chip Spacecraft Controller on a Chip

Building Blocks For System on a Chip Spacecraft Controller on a Chip PIO/TEST/WDOGN/ 19 ERRORN 2 Clock, Reset CT_PULSE CT_EVENT 4 4 4 SWB0 : Space Wire SWB1 : Space Wire SWB2 : Space Wire HKP Housekeeping Packetizer Context RA CT CCSDS Time anager SWT SWITCH ATRIX IT from

More information

Developing the Bifrost GPU architecture for mainstream graphics

Developing the Bifrost GPU architecture for mainstream graphics Developing the Bifrost GPU architecture for mainstream graphics Anand Patel Senior Product Manager, Media Processing Group ARM Tech Symposia India December 7 th 2016 Graphics processing drivers Virtual

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect to a 4K and

More information

ARM the Company ARM the Research Collaborator

ARM the Company ARM the Research Collaborator UMIC Day 13 ARM the Company ARM the Research Collaborator John Goodacre Director Technology and Systems Aachen 15 th October 2013 1 The ARM Vision A world where all electronic products and services are

More information

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL Smitha A P1, Ashwini S2 1 M.Tech VLSI Design and Embedded Systems, ECE Dept. 2 Assistant Professor, ECE Dept. NCET, Bengaluru, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

ARM. CoreLink. NIC-400 Network Interconnect. Technical Reference Manual. Revision: r1p0

ARM. CoreLink. NIC-400 Network Interconnect. Technical Reference Manual. Revision: r1p0 ARM CoreLink NIC-400 Network Interconnect Revision: r1p0 Technical Reference Manual Copyright 2012-2016 ARM Limited or its affiliates. All rights reserved. ARM DDI 0475G () ARM CoreLink NIC-400 Network

More information

A Reconfigurable Computing System Based on a Cache-Coherent Fabric

A Reconfigurable Computing System Based on a Cache-Coherent Fabric A Reconfigurable Computing System Based on a Cache-Coherent Fabric Presenter: Neal Oliver Intel Corporation June 10, 2012 Authors- Neal Oliver, Rahul R Sharma, Stephen Chang, Bhushan Chitlur, Elkin Garcia,

More information

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3. September 5, 2007 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

ECE332, Week 2, Lecture 3

ECE332, Week 2, Lecture 3 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

V50. High Speed Low Cost Platform for SoC IC Test

V50. High Speed Low Cost Platform for SoC IC Test V50 High peed Low Cost Platform for oc IC Test Agenda V50 Overview Application Targets Hardware and oftware ummary Q&A eatures of V50 Test ystem 64 to 256 Logic I/O pins with 64-pin increment Parallel

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

SharePoint 2010 Technical Case Study: Microsoft SharePoint Server 2010 Social Environment

SharePoint 2010 Technical Case Study: Microsoft SharePoint Server 2010 Social Environment SharePoint 2010 Technical Case Study: Microsoft SharePoint Server 2010 Social Environment This document is provided as-is. Information and views expressed in this document, including URL and other Internet

More information

DMA Accelerator Functional Unit (AFU) User Guide

DMA Accelerator Functional Unit (AFU) User Guide DA Accelerator Functional Unit (AFU) User Guide Updated for Intel Acceleration tack: 1.0 Production ubscribe end Feedback Latest document on the web: PDF HTL Contents Contents 1. About this Document...

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP -UHD General Description The Digital Blocks -UHD LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect

More information

ARM Connected Community Technical Symposium Reaching High Performance System Design Using AMBA Fabric IP

ARM Connected Community Technical Symposium Reaching High Performance System Design Using AMBA Fabric IP ARM Connected Community Technical Symposium Reaching High Performance System Design Using AMBA Fabric IP Tim Mace Senior Technical Marketing Manager Fabric IP BU, ARM 1 What is Fabric IP? Fabric IP is:

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE. CDNLive! Silicon Valley 2012

ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE. CDNLive! Silicon Valley 2012 ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE CDNLive! Silicon Valley 2012 Alex Starr March 13, 2012 INTRODUCTION About the author Alex

More information

ESL Design and HW/SW Co-verification of High-end Software Defined Radio Platforms

ESL Design and HW/SW Co-verification of High-end Software Defined Radio Platforms EL Design and HW/W Co-verification of High-end oftware Defined Radio Platforms Ng, A. C.H., Weijers, J.W., Glassee, M., chuster, T., Bougard, B., Van der Perre, L. IMEC, Belgium {nganthon, weijers, glasseem,

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

Formal Contribution towards Coverage Closure. Deepak Pant May 2013 Formal Contribution towards Coverage Closure Deepak Pant May 2013 Agenda 1. Incisive Metric Driven Verification 2. Coverage Unreachability App 3. Enriched Metrics Formal Contribution to MDV 4. Summary

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information