ARM the Company ARM the Research Collaborator

Size: px
Start display at page:

Download "ARM the Company ARM the Research Collaborator"

Transcription

1 UMIC Day 13 ARM the Company ARM the Research Collaborator John Goodacre Director Technology and Systems Aachen 15 th October

2 The ARM Vision A world where all electronic products and services are based upon energy efficient technology from ARM, making life better for everyone 2

3 ARM Overview ARM is the world s leading semiconductor IP company and The Architecture for the Digital World More than 25 billion ARM technology based chips shipped to date Unrivalled Partner ecosystem About 750 processor licenses sold to more than 250 companies Millions of developers; billions of users ARM has the right technology optimized for a mobilizing world and beyond 3

4 Unparalleled Applicability Cortex Architecture Profiles Compatibility across a diverse application range Cortex- A (Applications) Virtual addressing (MMU) for running Windows, Linux, etc Cache for high performance Often heavy focus on 3 rd party applications requiring large memory Cortex- R (Real-time and Control) No virtual address capability, typically run RTOS Microarchitecture focus on on fast response to interrupts ECC cache options, lock-stop, error tolerance Cortex- M (Microcontroller) Extremely small gate count and low power Fast and deterministic exception handing No cache, no virtual address capability Cortex-M4 SC300 Cortex-M3 Cortex-M1 SC GHz Cortex-A8 x1-4 Cortex-A5 1-2 x1-4 Cortex-A9 1-2 x1-4 Cortex-A15 Cortex-R7 Cortex-R5 Cortex-R4 Cortex-M0 12k gates... 4

5 Solutions For Each Price Point Volume (Mu) Maximum efficiency for the performance required and then add big.little Cortex-A57 Mali-T628 Cortex-A15 Mali-T604 Cortex-A9 Mali-400 Cortex-A9 Cortex-A9 Cortex -A8 Cortex-A7 Cortex-A5 ARM11 Mali-400 Mali TM -300 Cortex-A12 Cortex-A53 Mali-450 Mixture of ARM and Gartner Estimates Relative SoC die Size 5

6 Enhancing Design Efficiency Outpacing Moore s Law with micro-architectural innovation High-end smartphone 2011 Micro-architectural innovation + process shrink 1/5 th the Area Mass-market smartphone mm 2 per core Cortex -A9 processor 40nm, 1GHz Less than 1/3 rd the Power Matching performance ARM Cortex-A7 processor 28nm, 1.2GHz Development already extending to 16/14nm FinFET 6

7 Cortex-R4 High performance, real-time embedded processor Deterministic event response Configurable feature set Dependable system support Cortex-R5 (2010) New features to enhance system performance Low-latency peripheral port Data DMA coherency port Dual core configuration Extended error management Smaller Floating Point Unit Cortex-R7 (2011) Large performance increase Advanced micro-architecture Higher clock frequency Symmetric Multi-Processing Dual core and DMA coherent Quality of Service features Extended real-time memory Hard error management Integrated interrupt controller 7

8 ARM Cortex-M0+ Processor The most energy efficient 32-bit processor Processor consumption as under 10µA/MHz* Outstanding result of 2.15 CoreMark/MHz *TSMC90LP, 1.2V, min. configuration Extended design options Fast I/O Interface for single cycle access Micro Trace Buffer for faster debug MPU for safety related applications For entry-level application which requires more Battery life: Internet of Things (IoT) or sensors Advanced debug: as application complexity raise, e.g. comm. stacks Safety: factory automation or automotive 8

9 Developer Access to Cortex-M 9

10 Scalable Mali GPU solutions Performance Mali-400 MP First OpenGL ES 2.0 multicore GPU Scalable from 1 to 4 cores Low cost solution with Mali-300 Mali-300 OpenGL ES 2.0 compliant Mali-450 MP 2x Mali-400 performance Scalable up to 8 cores Leading OpenGL ES 2.0 performance Graphics Only Performance Optimized for tablets Mali-T628 50% performance uplift OpenGL ES 3.0 support Scalable to 8 cores Scalable to 2 cores Mali-T604 First Midgard architecture product OpenGL ES 3.0 support Scalable to 4 cores Mali-T678 High end solution Max compute capability Graphics and GPU Compute Mali-T624 50% performance uplift OpenGL ES 3.0 support Scalable to 4 cores Mali-T622 Smallest Full Profile GPU Compute Enables mid-range smartphone 50% more energy efficient than Mali-T604 10

11 The Mali Ecosystem 11

12 Integrating Efficient Compute GIC-400 Interrupt Control IO Coherent Masters Simplified view of complex processors Display and Video Subsystem Cortex-A15 Cortex-A7 Mali- T628 GPU Shader Shader Shader Coherency maximizes on-chip residency Shader Shader Shader L2 cache ADB-400 L2 L2 Cache ADB-400 ADB-400 L2 Cache ADB-400 Efficient Voltage scaling for power management MMU-400 MMU-400 MMU-400 MMU-400 CoreLink CCI-400 Cache Coherent Interconnect Common memory view for all SoC components TZC-400 DMC To Peripheral Interconnect Optimized path to memory for best performance DDR/LPDDR DDR/LPDDR 12

13 Efficiency at the Implementation Level POP IP Core-hardening acceleration technology Targeted to optimized Cortex CPU for power or performance POP IP Core-hardening acceleration technology Targeted to optimized Mali GPU for power or performance Standard Cells Multi-Vt cell libraries Multi-Channel cell libraries Multiple track height libraries Memory IP HS & Ultra-low Power Multiple power modes Multi-Vt options 13

14 big.little Processing Tightly coupled combination of two ARM CPU clusters: Cortex-A15 and Cortex-A7 - functionally identical Same programmers view, looks the same to OS and applications big.little combines high-performance and low power Automatically selects the right processor for the right job Redefines the efficiency/performance trade-off Highest computing performance Demanding tasks Always on, always connected tasks Significantly lower energy Current big.little smartphone processor big LITTLE Current big.little smartphone processor 14

15 Rethinking Computation Efficiency Right Processor for the Right Task CPU GPU Interconnect & memory sub system Latency Sensitive Bandwidth Sensitive Serial dominated Most Efficient Execution? Extremely parallel 15

16 Sub-system: Heterogeneous Compute + big.little AMBA Extensions Interface (Master) Cortex-A15 Cluster CPU 0 L2 Cache CPU 2 CPU 1 CPU 3 Cortex-A7 Cluster CPU 0 L2 Cache CPU 2 CPU 1 CPU 3 Mali T600 series GPU Shader Core 0 Shader Core 1 L2 Cache SMMU Shader Core 2 Shader Core 3 Debug & Trace NIC 400 CoreSight Resources Mgt System Power JTAG & Trace PMIC/ APB Bus Cache Coherent Interconnect (CCI-400) AMBA Extensions Interface (Master) DMC-400 LPDDR2/DDR3 Controller NIC 400 TrustZone enabled 2012 Compute Subsystem On-Chip Memories (RAM, ROM) Base Peripheral DDR PHY or DDR Memory AMBA Extensions Interface (Slave) 16

17 Summary of EU Project Participation Acronym Ref. Project Title Start Funding POWER (OMI) 6892 Portable Workstation for Education in Europe 1992 ESPRIT 3 DE-ARM (OMI) 6909 Embedded RISC macrocell 1992 ESPIRT 3 STANDARDS (OMI) 7267 Standards Methodology and Harmonisation for OMI 1992 ESPRIT 3 CASCADE 8670 Chip Architecture for Smart Cards and Portable Intelligent Devices 1993 ESPIRT 3 HIPERION (OMI) 9166 High-Performance Radio local-area Network 1993 ESPRIT 3 IMPROVE Introducing total quality management and business process mgmy into I.T. companies 1995 FP4-ESPRIT ASPIRE Advanced silicon prototyping in reconfigurable environments 1995 FP4-ESPRIT DE2 (OMI) Open microprocessor systems initiative for deeply embedded arm application macrocells 1995 FP4-ESPRIT ThEOS (OMI) Thumb support for EOS (RTOS) 1995 FP4-ESPIRT Asic4PMR Architecture for single chip for private mobile radio communication 1996 FP4-ESPRIT ATOM (OMI) Applications in Telecommunications for OMI Macrocells 1997 FP4-ESPRIT CODAC Co-design for applications with embedded cores 1997 FP4-ESPRIT INFOGATE Information gate for home FP4-ESPRIT PEOPLE Power Estimation for fast Exploration of Embedded systems 1998 FP4-ESPRIT G3CARD rd Generation Smartcard 1999 FP5-IST EPHEM Emulated Peripherals for High performance Microcontrollers 2001 FP5-IST POET Power Optimisation for Embedded SysTems 2001 FP5-IST REMUNE Methods and Tools for Telecom Systems 2001 FP5-IST SYDIC-Training System design industry council training 2002 FP5-IST HIPEAC 4408 High Performance Embedded Architectures and Compilers 2004 FP6-IST SPRINT Open SoC Design Platform for Reuse and Integration of IPs 2006 FP6-IST SOCRADES Service Oriented Cross-layer infrastructure for Distributed smart Embedded devices 2006 FP6-IST emuco Embedded Multi-Core Processing for Mobile Communication Systems 2008 FP7-ICT-2 REALITY Reliable and Variability tolerant SoC Design in More-Moore Technologies <=45nm 2008 FP7-ICT-2 HIPEAC High Performance and Embedded Architecture and Compilation 2008 FP7-ICT EUROCLOUD Energy-conscious 3D Server-on-Chip for Green Cloud Services 2009 FP7-ICT-4 ENCORE ENabling technologies for a programmable many-core 2009 FP7-ICT-4 SEPIA Secure Embedded Platform with advanced Process Isolation and Anonymity Capabilities 2009 FP7-ICT-5 MONTBLANC European scalable and power efficient HPC platform based on low-power technology 2011 FP7-ICT-7 VIRTICLE SW/HW extensions for virtualized heterogeneous multicore platforms 2011 FP7-ICT-7 CARP Correct and Efficient Accelerator Programming 2011 FP7-ICT , Montblanc II, EUROSERVER, SAVE 17

18 MontBlanc: FP7-7 A project that invests significantly to test and evaluate the ability of ARM based solutions to address the HPC market Involves both hardware prototyping and associated software to run and evaluate current mobile-devices in a HPC environment Key to ARM is the learning and requirement capture to enable and support next generation technology and partner solutions focused specifically at microserver based solutions for across enterprise, embedded and HPC markets 18

19 CARP: FP7-7 This is the first such collaborative project undertaken by ARM s media processor division (MPD) MPD is leveraging both the consortiums understanding regards the correctness of the accelerator based platform, but more importantly, that the automatic conversion of existing code can have a portable representation that will be appropriately accelerated on ARM based GP-GPU compute platforms The project is allowing ARM to look also at more advanced run-times for generic heterogeneous compute platforms (bl + GPGPU + accl) 19

20 Virtical: FP-7 This project is embracing the new ARM hardware extensions for virtualization and in effect answering the challenges posed by emuco Specifically, the work in KVM (Linux kernel based virtual machine) is enabling key business scenarios such as BOYD and content isolation. This enrichment of the software environment on devices, also lets ARM leverage the project to create and share across the world the new de-facto specification standard for ARM power control coordination Together, the consortium is building a EU based centre of expertise in embedded virtualization 20

21 Future Vision For Compute (personal) Software is constructed from series of specific phases, of differing granularity, that can be accelerated and/or more efficiently executed when using more code-specific hardware Phases identified statically at design/compilation or dynamically at runtime A phase aware runtime/scheduler with appropriate annotated intermediate representation can ensure task placement is temporally ideal A compute system will share a globally addressable global address space to allow any compute unit to register its specialized (heterogeneous) services to the application runtime A compute unit can use any virtually mapped location; all compute unit own a physical partition of the global address space; only one unit can cache a physical location; all accesses are made coherently through the cached owner unit Compute units of various types can be collected into a system through traditional SoC, or through new Silicon-on-silicon (3DIC) technologies Compute then becomes a challenge of data locality and connectivity 21

22 ARM Units for Compute Slave interface into unit s view of it s memory Access To DDR Local Peripherals Compute Unit Access to rest of SoC In a system, various ARM IP blocks enable the construction of a Compute Unit from a single Cortex-A5 up to a 16-way Cortex-A57 Such systems can support multiple software models due to supporting a coherent, virtually mappable memory across a global address space Eg Shared memory or message based Capable of remote (off chip) memory access latencies under 100ns 22

23 Slave interface from rest of SoC Sharing coherent view into compute unit s view of memory Cortex-A9 MPCore: The first Compute Unit ARM Coresight Multcore Debug and Trace Architecture FPU/NEON PTM I/F FPU/NEON PTM I/F FPU/NEON PTM I/F FPU/NEON PTM I/F Cortex-A9 CPU Cortex-A9 CPU Cortex-A9 CPU Cortex-A9 CPU Instruction Cache Data Cache Instruction Cache Data Cache Instruction Cache Data Cache Instruction Cache Data Cache Generalized Interrupt Control and Distribution Snoop Control Unit (SCU) Cache-2-Cache Transfers Snoop Filtering Advanced Bus Interface Unit Timers Accelerator Coherence Port Primary AMBA 3 64bit Interface Optional 2 nd I/F with Address Filtering Interface to local memory Master interface to remote memory 23

24 CoreLink CCI-400: big.little Compute Single Inner-coherent Region (SMP) Save interfaces from rest of SoC Sharing coherent view into compute unit s view of memory Quad Cortex- A57 Quad Cortex- A53 ACE Mali GPGPU Rest-of-SoC (Master) Interfaces to DDR memory L2 cache L2 cache CoreLink CCI-400 Cache Coherent Interconnect CoreLink DMC-400 DDR2/3 CoreLink DMC-400 PHY DDR2/3 Rest-of-SoC (Slave) Master interface into the rest of SoC and access remote memory 24

25 CoreLink CCN-504: Server Unit of Compute Slave interfaces from rest of SoC Sharing coherent view into compute unit s view of memory Single Inner-coherent Region (SMP) Quad Cortex-A57 Quad Cortex-A57 GIC-500 Quad Cortex-A57 Quad ACE Cortex-A GbE ACE DPI PCIe Crypto AHB DSP DSP DSP USB NIC-400 SATA L2 cache L2 cache L2 cache L2 cache MMU-500 CoreLink CCN-504 Cache Coherent Network 8/16MB L3 cache Snoop Filter Interface to Local DDR memory CoreLink DMC-520 x72 DDR CoreLink DMC-520 PHY x72 DDR Flash NIC-400 Network Interconnect GPIO Master interface to the rest of SoC and access remote memory 25

26 Local I/O and Remote Routing EUROSERVER Vision: Started 1 st Sept 13 Cortex-A15 Cluster CPU 0 CPU 2 L2 Cache CPU 1 CPU 3 Cache Coherent Interconnect (CCI-400) Cortex-A7 Cluster CPU 0 CPU 2 L2 Cache CPU 1 CPU 3 Mali T600 series GPU Shader Core 0 Shader Core 1 L2 Cache SMMU Shader Core 2 Shader Core 3 AMBA Extensions Interface (Master) AMBA Extensions Interface (Master) Debug & Trace CoreSight Resources Mgt System Power NIC 400 JTAG & Trace PMIC/ APB Bus 1) Start with a standard scalable compute unit DMC-400 LPDDR2/DDR3 Controller NIC 400 TrustZone enabled 2012 Compute Subsystem DDR PHY or DDR Memory On-Chip Memories (RAM, ROM) AMBA Extensions Interface (Slave) Base Peripheral 2) Connect a few together Compute Node Multi-Chip-Module along with its local memory partition Compute Chiplet Compute Chiplet Active Interposer (2D SoC + TSV) Bumps Package Substrate Memories 3) Put a few on a board using shared IO s Balls Non-Volatile Memory Configurable Multi-Protocol I/O Interfaces Consumer supply Compute Node (64xCPU) 256xCPU 4) In future, integrate Compute Node into a single MCM Compute Node Delivering a result 100x better than today Compute Node 26

27 27 Thank you

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

The Evolution of the ARM Architecture Towards Big Data and the Data-Centre

The Evolution of the ARM Architecture Towards Big Data and the Data-Centre The Evolution of the ARM Architecture Towards Big Data and the Data-Centre 8th Workshop on Virtualization in High-Performance Cloud Computing (VHPC'13) held in conjunction with SC 13, Denver, Colorado

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Stefan Rosinger Director, Product Management Arm Arm TechCon 2017 Agenda Market growth and trends DynamIQ

More information

ARM instruction sets and CPUs for wide-ranging applications

ARM instruction sets and CPUs for wide-ranging applications ARM instruction sets and CPUs for wide-ranging applications Chris Turner Director, CPU technology marketing ARM Tech Forum Taipei July 4 th 2017 ARM computing is everywhere #1 shipping GPU in the world

More information

The ARM Cortex-A9 Processors

The ARM Cortex-A9 Processors The ARM Cortex-A9 Processors This whitepaper describes the details of the latest high performance processor design within the common ARM Cortex applications profile ARM Cortex-A9 MPCore processor: A multicore

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

New ARMv8-R technology for real-time control in safetyrelated

New ARMv8-R technology for real-time control in safetyrelated New ARMv8-R technology for real-time control in safetyrelated applications James Scobie Product manager ARM Technical Symposium China: Automotive, Industrial & Functional Safety October 31 st 2016 November

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

The Next Steps in the Evolution of Embedded Processors

The Next Steps in the Evolution of Embedded Processors The Next Steps in the Evolution of Embedded Processors Terry Kim Staff FAE, ARM Korea ARM Tech Forum Singapore July 12 th 2017 Cortex-M Processors Serving Connected Applications Energy grid Automotive

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Improving Energy Efficiency in High-Performance Mobile Platforms Peter Greenhalgh, ARM September 2011 This paper presents the rationale and design

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Eric Wang Sr. Technical Marketing Manager Tech Symposia China 2015 November 2015 Agenda Introduction Security Foundations on ARM Cortex -M Security Foundations

More information

The Next Steps in the Evolution of ARM Cortex-M

The Next Steps in the Evolution of ARM Cortex-M The Next Steps in the Evolution of ARM Cortex-M Joseph Yiu Senior Embedded Technology Manager CPU Group ARM Tech Symposia China 2015 November 2015 Trust & Device Integrity from Sensor to Server 2 ARM 2015

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

Building supercomputers from embedded technologies

Building supercomputers from embedded technologies http://www.montblanc-project.eu Building supercomputers from embedded technologies Alex Ramirez Barcelona Supercomputing Center Technical Coordinator This project and the research leading to these results

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

ARM processors driving automotive innovation

ARM processors driving automotive innovation ARM processors driving automotive innovation Chris Turner Director of advanced technology marketing, CPU group ARM tech forums, Seoul and Taipei June/July 2016 The ultimate intelligent connected device

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

CMP Conference 20 th January Director of Business Development EMEA

CMP Conference 20 th January Director of Business Development EMEA CMP Conference 20 th January 2011 eric.lalardie@arm.com Director of Business Development EMEA +33 6 07 83 09 60 1 1 Unparalleled Applicability ARM Cortex Advanced Processors Architectural innovation, compatibility

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 A Secure and Connected Intelligent Future 1 2017 Arm Copyright Limited Arm 2017 Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 Arm: The Industry s Architecture of Choice 50

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

A Developer's Guide to Security on Cortex-M based MCUs

A Developer's Guide to Security on Cortex-M based MCUs A Developer's Guide to Security on Cortex-M based MCUs 2018 Arm Limited Nazir S Arm Tech Symposia India Agenda Why do we need security? Types of attacks and security assessments Introduction to TrustZone

More information

The Bifrost GPU architecture and the ARM Mali-G71 GPU

The Bifrost GPU architecture and the ARM Mali-G71 GPU The Bifrost GPU architecture and the ARM Mali-G71 GPU Jem Davies ARM Fellow and VP of Technology Hot Chips 28 Aug 2016 Introduction to ARM Soft IP ARM licenses Soft IP cores (amongst other things) to our

More information

Leveraging the Benefits of Symmetric Multiprocessing (SMP) in Mobile Devices

Leveraging the Benefits of Symmetric Multiprocessing (SMP) in Mobile Devices WHITE PAPER Brian Carlson OMAP Platform Marketing Manager Introduction Mobile devices, such as Smartphones and Mobile Internet Devices (MIDs), are beginning to deliver advanced functions, such as PC-like

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

Innovation is Thriving in Semiconductors

Innovation is Thriving in Semiconductors Innovation is Thriving in Semiconductors Mike Muller Chief Technology Officer ARM TechCon Nov 10, 2015 BBC Model B ARM1 ARM Holdings Cortex-M0 BBC micro:bit 1981 1985 1990 2015 Core Tech Transisto r Design

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Rob Coombs Security Marketing Director TechCon 11/10/15 Agenda Introduction Security Foundations on Cortex-M Security Foundations on Cortex-A Use cases

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series Ian Johnson Senior Product Manager, ARM 1 ARM Cortex Processors across the Embedded Market Cortex -M processors Cortex -R processors

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Eric Wang Senior Technical Marketing Manager Shenzhen / ARM Tech Forum / The Ritz-Carlton June 14, 2016 Agenda Introduction Security Foundations on Cortex-A

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Beyond Hardware IP An overview of Arm development solutions

Beyond Hardware IP An overview of Arm development solutions Beyond Hardware IP An overview of Arm development solutions 2018 Arm Limited Arm Technical Symposia 2018 Advanced first design cost (US$ million) IC design complexity and cost aren t slowing down 542.2

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Jazelle. The ARM Architecture. NeON. Thumb

Jazelle. The ARM Architecture. NeON. Thumb ARM Processor Guide ARM is the industry's leading provider of 32-bit embedded RISC microprocessors. ARM processors are licensed by the majority of the word's leading semiconductor manufacturers, who together

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Developing the Bifrost GPU architecture for mainstream graphics

Developing the Bifrost GPU architecture for mainstream graphics Developing the Bifrost GPU architecture for mainstream graphics Anand Patel Senior Product Manager, Media Processing Group ARM Tech Symposia India December 7 th 2016 Graphics processing drivers Virtual

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture.

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. ARM CORTEX-R52 Course Family: ARMv8-R Cortex-R CPU Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. Duration: 4 days Prerequisites and related

More information

ELC4438: Embedded System Design ARM Embedded Processor

ELC4438: Embedded System Design ARM Embedded Processor ELC4438: Embedded System Design ARM Embedded Processor Liang Dong Electrical and Computer Engineering Baylor University Intro to ARM Embedded Processor (UK 1990) Advanced RISC Machines (ARM) Holding Produce

More information

Chapter 15 ARM Architecture, Programming and Development Tools

Chapter 15 ARM Architecture, Programming and Development Tools Chapter 15 ARM Architecture, Programming and Development Tools Lesson 07 ARM Cortex CPU and Microcontrollers 2 Microcontroller CORTEX M3 Core 32-bit RALU, single cycle MUL, 2-12 divide, ETM interface,

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Parallel Simulation Accelerates Embedded Software Development, Debug and Test Parallel Simulation Accelerates Embedded Software Development, Debug and Test Larry Lapides Imperas Software Ltd. larryl@imperas.com Page 1 Modern SoCs Have Many Concurrent Processing Elements SMP cores

More information

Introduction CHAPTER IN THIS CHAPTER

Introduction CHAPTER IN THIS CHAPTER CHAPTER Introduction 1 IN THIS CHAPTER What Is the ARM Cortex-M3 Processor?... 1 Background of ARM and ARM Architecture... 2 Instruction Set Development... 7 The Thumb-2 Technology and Instruction Set

More information

Advanced IP solutions enabling the autonomous driving revolution

Advanced IP solutions enabling the autonomous driving revolution Advanced IP solutions enabling the autonomous driving revolution Chris Turner Director, Emerging Technology & Strategy, Embedded & Automotive Arm Shanghai, Beijing, Shenzhen Arm Tech Symposia 2017 Agenda

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

ARM TrustZone for ARMv8-M for software engineers

ARM TrustZone for ARMv8-M for software engineers ARM TrustZone for ARMv8-M for software engineers Ashok Bhat Product Manager, HPC and Server tools ARM Tech Symposia India December 7th 2016 The need for security Communication protection Cryptography,

More information

Implementing Secure Software Systems on ARMv8-M Microcontrollers

Implementing Secure Software Systems on ARMv8-M Microcontrollers Implementing Secure Software Systems on ARMv8-M Microcontrollers Chris Shore, ARM TrustZone: A comprehensive security foundation Non-trusted Trusted Security separation with TrustZone Isolate trusted resources

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

ARMv8-A Software Development

ARMv8-A Software Development ARMv8-A Software Development Course Description ARMv8-A software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to develop software for

More information

Bifrost - The GPU architecture for next five billion

Bifrost - The GPU architecture for next five billion Bifrost - The GPU architecture for next five billion Hessed Choi Senior FAE / ARM ARM Tech Forum June 28 th, 2016 Vulkan 2 ARM 2016 What is Vulkan? A 3D graphics API for the next twenty years Logical successor

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks

DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks Jeff Maguire Senior Product Manager Infrastructure IP Product Management Arm 2017 Arm Limited Arm Tech Symposia 2017 Agenda 5G networks

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

The Changing Face of Edge Compute

The Changing Face of Edge Compute The Changing Face of Edge Compute 2018 Arm Limited Alvin Yang Nov 2018 Market trends acceleration of technology deployment 26 years 4 years 100 billion chips shipped 100 billion chips shipped 1 Trillion

More information

Multicore and MIPS: Creating the next generation of SoCs. Jim Whittaker EVP MIPS Business Unit

Multicore and MIPS: Creating the next generation of SoCs. Jim Whittaker EVP MIPS Business Unit Multicore and MIPS: Creating the next generation of SoCs Jim Whittaker EVP MIPS Business Unit www.imgtec.com Many new opportunities Wearables Home wireless for everything Automation & Robotics ADAS and

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit 2018 NETRONOME SYSTEMS, INC. 1 @risc_v MASSIVELY PARALLEL

More information

CoreTile Express for Cortex-A5

CoreTile Express for Cortex-A5 CoreTile Express for Cortex-A5 For the Versatile Express Family The Versatile Express family development boards provide an excellent environment for prototyping the next generation of system-on-chip designs.

More information

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart Formal for Everyone Challenges in Achievable Multicore Design and Verification FMCAD 25 Oct 2012 Daryl Stewart 1 ARM is an IP company ARM licenses technology to a network of more than 1000 partner companies

More information

Take GPU Processing Power Beyond Graphics with Mali GPU Computing

Take GPU Processing Power Beyond Graphics with Mali GPU Computing Take GPU Processing Power Beyond Graphics with Mali GPU Computing Roberto Mijat Visual Computing Marketing Manager August 2012 Introduction Modern processor and SoC architectures endorse parallelism as

More information