In-Circuit Functional Test ATE Tools

Size: px
Start display at page:

Download "In-Circuit Functional Test ATE Tools"

Transcription

1 In-Circuit Functional Test ATE Tools Today many tools are available to test a PCB either in the production line and for repair purposes. In this article we ll try to highlight the basic principles behind the ATE and how a company could benefit of it with a look at the market. First of all we should notice that an ICT is nothing but an ATE but the ATE may NOT be an ICT. For example the optical inspection of a PCB on the production line is a specific ATE often named AOI system. In this case there is no electrical contact with the UUT so the AOI is a specific ATE but not an ICT. In the market are also available low-cost ATE named Defect Analyser. These ATE perform standard tests on a limited number of devices and are usually not fully programmable. ICT Basic Principles The working concept is the same both for an ASIC s ATE or an ICT. The system basically generate a pattern or a sequence of test vectors and measure the response from the UUT. This response is compared with a test program that is stored on the Hard Disk. If the response match the test program then the test Pass otherwise it Fail. Test vectors can be digital or mixed, depending on the device or UUT. For example suppose we want to test a NOT_Gate. Then the test vector could be 1001 meaning that the ICT will Drive one at the input, then Sense zero at the output (the first two bit ). The same is done for the last two bit 01. This way the functionality of the device is fully tested. In this example we need an ICT that should be able to Drive and sense a total of 4pins (1001), named PinDrivers. Today s ICT are available from 48 upto more than thousands PinDrivers. Sometimes, however, may happen that a UUT pass the test but do not work on the field, why? To understand this we ve to consider the difference between a Functional test and a RealTime test. RealTime Test In the previous example we ve tested a NOT_Gate using the ICT so we ve done a functional test. However when the UUT is working on the field the timing of the signals are given by the clock that is present on board rather than controlled by the ICT. In this case the NOT_Gate may work properly when stimulated with the relatively low frequencies from the ICT but may fail when stimulated with the higher frequencies coming from the UUT s oscillator. The frequency of the test vectors, more properly named thetestrate, can vary from different makers and it s an important parameter of the ICT. The TestRate is usually given in us (microseconds) and the smaller the faster is the test speed. However it s important to understand that increasing the TestRate do not transform the functional test into a RealTime test. All the 1

2 ICTs in fact are designed to measure an electrical value not a time value. All the ICTs are basically Functional testers. For example suppose we have a DAQ which will read data from memory every 120ns. Consider a commercial SRAM with the timings given below : Here the Read Cycle time is exactly 120ns, however if for some reason we try to read a little bit faster, then the SRAM will not be able to come out with data in time. In this case our UUT may have some intermittent problems often tough to debug. We can notice that when we test the SRAM with the ICT this device will Pass but when we plug the PCB on the field then it will probably fail. Position of the ICT In the previous example we ve seen that a RealTime problem cannot be detected by an ICT. For this type of problems the use of LSA, DSO or ICE is recommended and it s a normal practice in the lab. After the prototype has been debugged then we can go for production. In the production line we expect only functional faults ; typically open and shorts or even faulty devices. It s interesting to notice that the test programs used in production can also be used to repair the UUT. The ICT can be used mainly in three areas: Production Line Repair Device screening 2

3 We can summarize the production cycle as in the figure below: Types of Tests A good ICT can be programmed to perform typically three types of tests, all mixed signals : DeviceTest EdgeCard Test Bed of Nail Test SystemTest The DeviceTest is done usually with test-clips placed over the device. This ensure good contacts between the UUT and the ICT. This test can be done InCircuit or out-circuit in case of device screening. The EdgeCard Test is done connecting the edge-card-connector, when available, to the ICT. This way the entire PCB can be tested. In this case the Test Engineer will provide a TI and the Test Program after having evaluated the schematics that are required for this type of test. A good value for the TI could in the range of 70% 90%. This test is feasible in production and is cost effective compared with the Bed of Nail Test. The Bed of Nail Test is done placing the PCB over a test fixture that have a collection of nails exactly placed so that the corresponding pads existing on the PCB get contacted with the nails. This is the typical test done in production where the TI can be 100%. The SystemTest typically is performed on the whole system thru a connector. The system can be a chassis with many boards inside or even a single PCB. This type of test can identify the faulty board in the system as well as the faulty device on it. Writing the test program for this type of test may require a long time using the traditional approach of coding the instructions in a Test-Language. This depend on the complexity of the system and on the types of tests to be performed. 3

4 An alternative is offered by the latest generation of ICTs. For example the most advanced ICT have the option to incorporate multiple SwithMatrix and a GUI of the type Drag and Drop. This way a system test or even an EdgeCard Test can be performed without writing a single line of code. Built-In instruments Many ICT have internal instruments incorporate in the system. These can be used to stimulate the UUT and to acquire the outputs. Then many analysis could be done on the signals especially if the ICT can inject analog signals other than digital only. For example we could measure the return Loss from a PCB injecting a sinewave at, let say 2Mhz then calculating the power spectrum for the reflected signal in Db centred at that frequency. The most advanced ICT incorporate arbitrary signal generators, DMM, FCT and DSO all of them controlled by the GUI. Here we should recognize that the internal instruments should be fully controlled by the ICT both thru a coded-program or a graphical-program otherwise the ATE become a manual Test-Equipment. Backdriving Many more things have to be taken in account while testing a device and in particular when the test is done InCircuit. In this case the Backdriving principles should be clearly understood since it play a key role in every ICT. In order to test a device or component in a powered up circuit, it is necessary to force the input conditions to both a logic 1 and 0 while testing. This input may be connected to a device output in an active condition and the force applied to the device output can stress it beyond its design limits, thus causing the device to fail obviously this is unacceptable, and the procedure, known as Back Driving must be operated in such a way that the forcing effect on the output stage does not cause a failure od the device. The principle of Back Driving can be seen below. Under normal drive conditions the output transistor Q1 would be required to sink 16mA x 0.4 Volts 4

5 However durlng the test cycle of the NAND the collector/emitter junction provides the Back Drive leakage path and would be required to dissipate a current of say 150mA at 3.5V (525mW) Therefore to protect the junction, the time held in Back Drive is limited to a maximum of 25mS, with an ON to OFF ratio of 1 to 20 this means that for every Back Drive operation the junction is allowed to relax for 0.5 seconds, thus ensuring that no damage is done to the device. This principle comes from a long term study named INT-DEF-STD-0053 which ensure that no damage will occur on the devices present on the PCB when the max. duration of the test is within 25ms. The TestRate, the Current Sink/Source and the RAM behind each pin are all features related each others and with the Backdriving principle. Test Rate We can define the TestRate as the interval of time between one test vector and the next and is normally given in useconds. The TestRate is related with the Backdriving principle we ve seen before. For example consider to test the commercial FIFO 7205 that is organized as 8Kx9. This is a special register where the first data stored comes out first. For this simple test, just an example, we ll Write the same data in every register then check if we Read the same back. The signals of interest are : R = pin for Read W = pin for Write Data = Data bus A typical test to Write the FIFO could be implemented like this: K = 0; While (K <= 8190); { Store Data; Clock W; K++; } // Data is placed on the Data-BUS // One clock pulse on W, Data is stored inside the FIFO // increment K Here we ve three instructions for every loop, this will translate in three test vectors for every loop in order to Write the FIFO with the value contained in the variable Data. Because the FIFO is 8K deep then we have 8,190x3 test vectors to Write and the same to Read the FIFO. In order to test the FIFO we ll need at least 49,140 test vectors to be generated in max 25ms. It s clear that if our FIFO is, for example 32K deep then to test it, we need about 32kx3 + 32kx3 that is more than 192,000 test vectors in 25ms. How much should be the min. TestRate in order to test this device? It should be 25ms divided by 192 that is 0.13uS or 7.68Mhz. A good ICT today can reach TestRate in the order of 25Mhz while testing a PCB with a Bed of Nail fixture. The test of the FIFO is just an example, other devices need an adeguate TestRate to be fully tested. For example suppose we need to test a commercial 1Mx16 DRAM. If we proceed with a code-program like 5

6 for the FIFO then we may need at least 1million test vectors to Write and the same to Read. In 25ms this translate in a TestRate of about 25/2000 that is or 80Mhz. In this case the advanced ICT provide an alternative using an internal Macro function that is faster than the coded-program and can be setup to test only some predefined cells of the memory so that this type of DRAM can be tested with just 4Mhz. An other example is when we need to test complex ASICs where the number of test vectors can easily come to 250,000 or more. In this case 25/250 = 0.1uS that is 10Mhz. Sink/Source Current The ICT must be capable to source enough current to the UUT and to Sink or absorb an equal amount of current. The source / sink capability of the ICT can best be explained by using diagrams. When a pin of a Device goes faulty there are 2 extremes of condition: Open Circuit: The Nodal Impedance that the test system experiences is no worse than when Back-Driving into a good component and therefore presents no problem to the system Short Circuit: Due to the manufacturing structure an Integrated Circuits, whereby I / O transistor stages of a device are embedded within a substrate layer, it is unusual for a Short Circuit pin on a Device to exhibit a dynamic impedance of less than 4 Ohms. If the ICT can Drive into a 4 ohm Ioad and still generate a valid logic 1 then other devices on the node can be tested successfully. See figure below. The voltage Vout = Vin*RL/ (RL+RS), this means about 2.4V when about 600mA are supplied by the ICT. However this current is approximate and in practical it s found that the supplied current should more than 600mA on all channels to drive properly the UUT for InCircuit test. 6

7 RAM behind each pin The RAM behind each pin is again related with the TestRate of the ICT and the Backdriving principle. In the example of the FIFO we have used about 192,000 test vectors to test it. We know that these test vectors have to be generated in max. 25ms and this means that we should arrange some space to keep them ready before the test start. This space is the RAM behind each pin. Now the question is how much RAM we need to store these 192,000 test vectors? Of course 192K RAM behind each pin can do the job but, this way the ICT may become even more expensive and, moreover, it s not required. In fact a good ICT include an optimization algorithm that strictly uses the required amount of memory depending on the test program. For example if our FIFO is filled with the same Data in every location than, to simplify, we need just one byte behind each pin to Write and one byte to store what is Read from it. Of course this is a simple example, consider a 32Kx8 SRAM where we ve to generate 32K addresses. In this case again the ICT have an optimization program which know that while we re testing,let say the LSB, the MSB do not change so it can optimize on the memory usage for that pin driver. Because the devices are more and more complex, the general rule is Bigger, Better. Guarding Guarding is required primarily when the outputs of the Device under Test are connected to the outputs of other devices in a "wired-or" or "tri-state" configuration. There are two types of Guard, each of which can be either a Standard Driver / Sensor channel, or an Auxiliary Driver / Sensor channel. STATIC GUARD Static Guards are active drive signals applied to a device for the entire duration of the FUNCTIONAL Test of the device in which the Guards have been programmed. Examples of the use of Static Guards are explained in the above circuit diagrams. DYNAMIC GUARD Dynamic Guards can be programmed to be either Drive or Sense, and the state of the Dynamic Guard can be changed during the Functional Program i.e. they can be used in exactly the same way as the standard Driver / Sensor channels. 7

8 Examples of this are shown in the following figures: 8

9 In the above circuit devices U8 and U9 are connected together onto a Data Bus. Whilst testing (or programming) U8, it is necessary to "isolate" (Tri-State) U9 from the Data Bus otherwise erroneous results will occur. To achieve this "isolation" U9 is "GUARDED" from U8 by connecting a logic I to pin 19. Conversely when testing U9, U8 is "Guarded" by attaching a Guard point (programmed to a logic 1). A "wired-or" is very similar to the above example, except the outputs of the "Guarded" Devices are required to be programmed to a logic I level by the implementation of the Guards. A further example of the use of Guarding is shown in Fig 8 where the output of the Device under Test is affected by a parallel path, or "Feedback Loop". If the DUT is not isolated from this parallel path during testing, erroneous test results may occur. Schematic generation Sometime may happen that we would like to have the schematic of the PCB we re testing. This is possible with the ICT which integrate Graphical editors for the schematic symbols and, after an optimized process of probing, generate the complete schematic of the UUT. Often this is a long process unless the ICT uses optimized algorithm to get the netlist and a quality schematic like the one we get from an EDA tool. VI or Signature Analysis This is a very popular option normally available in the ICT that do not require any test program to work. The Signature Analysis, or VI is a technique that inject a Waveform, generally a Sinewave, with limited current, onto a circuit node, or test point, on the KGB (Known Good Board). Then by monitoring both the Voltage Responses and the Current Responses from the particular node, a Lissajous figure can be plotted.this figure can then be compared with the one coming from the UUT. The VI Signatue is often very useful during the screening of the UUT. With this technique it s possible to inspect the boards and comparing the signatures coming from the same test point. 9

10 In practical even when the KGB is not available is, sometimes, still possible to test the board just looking for Open or Shorts on some specific components. In fact when the UUT have multiple channels, like an electronic Mixer for example, then the board can be tested comparing each channel with the other on the same board. VI is very flexible but of course there are some limitations on finding faults. First of all we need a KGB to learn the good signatures from. If this is not available then the use of VI can be restricted to test passive component out-circuit. For example we can measure capacitance and inductance of capacitors and coils since the VI works with programmable Voltage, frequency and waveforms. Other than this we can of course test resistors and all types of semiconductors. Zeners for example can be tested for the working voltage having the typical response displayed on screen. Zener reverse polarization A limitation that comes with the VI is that this method can be used to find the AREA of fault while the functional test point directly to the faulty device. 10

11 To clarify this, consider the following schematic : Suppose we probe to pin13 of U3.d and we find a different signature, then this can happen for at least three reasons: U4.d ouput is faulty, U3.a pin2 is faulty or U3.d pin13 is faulty. If others devices are connected to the same Net then we should consider their influence too. Boundary Scan An advanced ICT should be able to test devices with the JTAG standard known also as Boundary Scan Test. In this case the device will have some additional pins (JTAG pins) that allow a serial pattern to flow in a shift register behind each pin. This way we can program each pin as 1 or 0 and read back the same pattern. The BST uses 5 wires connected from the UUT to the ICT and can detect open and shorts on each pin of the device. It should be noticed that the BST is not a functional test. For example we could use it to test a BGA to verify if some pins are open or shorted but the internal functionality of the BGA can not be tested with the BST. BST can be used in production to find shorts and opens for example after the pick & place machine. Again a TI have to be provided by the TestEngineer after evaluation of the UUT schematics. In fact the TI depend on how many JTAG-devices are present on the chain and how many clusters. Many industries use mostly the AOI in production line. The JTAG standard IEEE today is widely used to program devices on-board (ISP). 11

12 AOI : (non functional test) The Automatic Optical Inspection is today used mostly in production after the pick and place machine where the time to test should be minimized. These ATEs uses Scanners or Cameras and can automatically identify solder defects, missing or wrong components, orientations, open, shorts and more The user have to program the UUT just once marking the components to be tested. Then while the UUT pass the AOI scan all the parts and identify possible defects very quickly. The main advantage of the AOI compared with with the BST is that it s more easy to use, can cover much more defects and is faster. Glossary AOI : Automated Optical Inspection ATE: Automatic Test Equipment BST: Boundary Scan Test Clusters : non JTAG components DMM: Digital Multi Meter Drive: The signal generated by the ICT DSO: Digital Storage Oscilloscope EDA: Electronic Design Automation FCT: frequency and Counter Timer GUI : Graphical User Interface ICE: In Circuit Emulator ICT: In Circuit Tester, a specific ATE ISP : In System Programmable JTAG : Join Test Action Group KGB: Known Good Board LSA: Logic State Analyser LSB: Less Significative Byte/Bit MSB: More Significative Byte/Bit Pad: the area of copper where the pin of the device contact the PCB. Can be SMT or Thru-Hole. Pattern: typically a sequence of logic zero and one. PCB : Printed Circuit Board PLD : Programmable Logic Device Sense: The signal measured by the ICT SMT: Surface Mount Technology SRAM: Static RAM Test vector: a sequence of logic zero and one that can also include analog measurements TI: Testability Index UUT: Unit Under Test, can be a device, a PCB or a system Alex Manfredini - Canarie International Copyright 2002, all rights reserved 12

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence ELECTRONICS MANUFACTURE-The In-Circuit Test sequence In-Circuit Test comprises several sections, each consisting of a series of tests on individual devices. By testing devices individually, failures can

More information

PXI-based Test Platform for ICT & FCT LEON Gen III

PXI-based Test Platform for ICT & FCT LEON Gen III PXI-based Test Platform for ICT & FCT LEON Gen III www.konrad-technologies.de Opportunities for a Paradigm Shift in PCB Test In the past, traditional PCB manufacturing test was dominated by dedicated in-circuit

More information

PinPoint II. Circuit board test & diagnostic system. diagnosys.com

PinPoint II. Circuit board test & diagnostic system. diagnosys.com PinPoint II Circuit board test & diagnostic system diagnosys.com Multi-strategy testing for confidence... Test of Individual Components and Edge connectors testing [1] The PinPoint system performs tests

More information

A S C O R I N C O R P O R A T E D VXI MODULES

A S C O R I N C O R P O R A T E D VXI MODULES A S C O R I N C O R P O R A T E D VXI MODULES General Purpose Switch Modules Most VXI test environments require general purpose switching capabilities to enable and disable particular I/O circuits, especially

More information

Test Match Partnering Specialist Boundary-Scan with ICT

Test Match Partnering Specialist Boundary-Scan with ICT Test Match Partnering Specialist Boundary-Scan with ICT Introduction: Boosting ICT to Improve Testability Popular ICT platforms from well-known vendors can perform a wide variety of analogue, digital,

More information

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

SCANWORKS TEST DEVELOPMENT STATION BUNDLE SCANWORKS TEST DEVELOPMENT STATION BUNDLE The ScanWorks Test Development Station is the most powerful set of boundary-scan test development and application tools available. It not only includes all the

More information

CheckSum. FUNC-2B Functional Test Module. The FUNC-2B features:

CheckSum. FUNC-2B Functional Test Module. The FUNC-2B features: CheckSum FUNC-2B Functional Test Module FUNC-2B Functional Test Module Made in U.S.A. The CheckSum Model FUNC-2B Functional Test System is designed to be used as an extension to a CheckSum ICT System.

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

Dual Head Flying Probe System. Application : Circuit Board and BGA Rework

Dual Head Flying Probe System. Application : Circuit Board and BGA Rework Dual Head Flying Probe System Application : Circuit Board and BGA Rework Robot Axis : X axis, Y axis, R(Rotation) axis, T(Probe) axis Robot Each Head : X axis, Y axis, R(Rotation) axis, T(Probe) axis Robot

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

SYSTEMS ELECTRONICS GROUP

SYSTEMS ELECTRONICS GROUP SYSTEMS ELECTRONICS GROUP SYSTEMS M4500 INDUSTRIAL CONTROLLER S4520-RDC: MOTION CONTROL CO-CPU With RESOLVER FEEDBACK Intelligent I/O board with motion control I/O and built-in M4500 processor (same as

More information

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MODEL 102P 102R DESCRIPTION Floor Stand (Plane) Floor Stand (Modified) HTRB Burn-In System (diode) Component Burn-In

More information

ELECTRONIC INSTRUMENTATION AND SYSTEMS LABORATORY

ELECTRONIC INSTRUMENTATION AND SYSTEMS LABORATORY ELECTRONIC INSTRUMENTATION AND SYSTEMS LABORATORY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING MICHIGAN STATE UNIVERSITY I. TITLE: Lab IX - Light Activated Exhaust Fan II. PURPOSE: One use of bipolar

More information

WI-076 Issue 1 Page 1 of 7

WI-076 Issue 1 Page 1 of 7 Design for Test (DFT) Guidelines WI-076 Issue 1 Page 1 of 7 Contents Scope... 3 Introduction... 3 Board Layout Constraints... 4 Circuit Design Constraints... 5 ICT Generation Requirements... 7 WI-076 Issue

More information

EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation

EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation 1. Objectives A. To apply knowledge of combinatorial design. B. Gain expertise in designing and building a simple combinatorial circuit This

More information

Agilent Medalist i3070 Series 5 In-Circuit Test System

Agilent Medalist i3070 Series 5 In-Circuit Test System In-Circuit Test System Data Sheet The In-Circuit Test (ICT) system introduces a new infrastructure with 3 new Capabilities: 1) The flexibility to incorporate external circuits to balance between ICT &

More information

Betrouwbare Elektronica ontwerpen en Produceren

Betrouwbare Elektronica ontwerpen en Produceren Betrouwbare Elektronica ontwerpen en Produceren Verbeter betrouwbaarheid, time to market en winstgevendheid met boundary scan JTAG Technologies B.V. Rik Doorneweert rik@jtag.com Boundary scan Testing HW

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman

Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman Exercise 4-1: Familiarization with Lab Box Contents & Reference Books 4-1-1 CMOS Cookbook (In the

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

CHAPTER 5. Voltage Regulator

CHAPTER 5. Voltage Regulator CHAPTER 5 Voltage Regulator In your robot, the energy is derived from batteries. Specifically, there are two sets of batteries wired up to act as voltage sources; a 9V battery, and two 1.5V batteries in

More information

SYSTEM 8 Advanced Test Module

SYSTEM 8 Advanced Test Module Board Tests in Production Maintenance & Repair Functional In-Circuit Testing Functional Board Level Testing SYSTEM 8 Advanced Test Module The Advanced Test Module (ATM) is a solution that offers high test

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

ABI Electronics. Test and measurement equipment for the maintenance and repair of electronics.

ABI Electronics. Test and measurement equipment for the maintenance and repair of electronics. Test and measurement equipment for the maintenance and repair of electronics >Speed up processes with interactive test sequences >Reduce operator errors with automatic instrument setup >Detect fault conditions

More information

Technical Information Manual

Technical Information Manual Technical Information Manual Revision n. 3 28 August 2002 MOD. V550 / V550 B MOD. V550 A / V550 AB 2 CHANNEL C-RAMS CAEN will repair or replace any product within the guarantee period if the Guarantor

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

solutions for teaching and learning

solutions for teaching and learning RKP18Motor Component List and Instructions PCB layout Constructed PCB Schematic Diagram RKP18Motor Project PCB Page 1 Description The RKP18Motor project PCB has been designed to use PIC microcontrollers

More information

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM)

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM) 1 3 Introduction to Memories The most basic classification of a memory device is whether it is Volatile or Non-Volatile (NVM s). These terms refer to whether or not a memory device loses its contents when

More information

Programmable D.C. Electronic Load

Programmable D.C. Electronic Load Programmable D.C. Electronic Load D35 PEL-2004 PEL-2002 FEATURES NEW NEW * Sequence Function to do High Speed Load Simulations * Flexible Configuration with Mainframes and Plug-in Modules * Multiple Independent

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Technical Description PinPoint The worlds most advanced electronic repair system

Technical Description PinPoint The worlds most advanced electronic repair system The worlds most advanced electronic repair system Diagnosys Systems Limited 2 July 2010 Contents Introduction... 4 Overview... 4 Multi-strategy testing for comprehensive test coverage... 5 Advanced Powerful

More information

Epigap FAQs Part packges and form factors typical LED packages

Epigap FAQs Part packges and form factors typical LED packages 3. packges and form factors 3.1. typical LED packages Radiation from LEDs is generated by a semiconductor chip mounted in a package. LEDs are available in a variety of designs significantly influencing

More information

EFL VI TESTER - TFT MANUEL BOOK

EFL VI TESTER - TFT MANUEL BOOK www.reelektronik.com EFL VI TESTER - TFT MANUEL BOOK (FAULT LOCATOR WITH IMPEDANCE (VI) CURVES TECHNIQUE) NOTES ; 1) Disconnecting the power to discharge the capacitor in the abdomen and tested electronic

More information

Measurement Systems Datascan Installation and User Guide

Measurement Systems Datascan Installation and User Guide Measurement Systems Datascan Installation and User Guide Supplied By Contents Contents 1. INTRODUCTION... 1 1.1 GENERAL... 1 1.2 DATASCAN MODULE RANGE... 1 1.2.1 Measurement Processors... 1 1.2.2 Analog

More information

CANdoISO Module Datasheet

CANdoISO Module Datasheet Datasheet NET50006/1 CANdoISO Module Datasheet 1 Overview The CANdoISO Module is an isolated USB to CAN bus interface in a format suitable for SMT or through hole PCB mounting. The module is based on the

More information

ATE Introduction. Advantech IA Technical Support Team

ATE Introduction. Advantech IA Technical Support Team ATE Introduction Advantech IA Technical Support Team ATE Instruction ATE ( Automatic Test Equipment ): A test platform to integrate power generation, signal switch/acquisition/analysis and report history

More information

TR8100LV SERIES TR8100LV HIGH-PERFORMANCE, HIGH THROUGHPUT IN-CIRCUIT TESTER HIGH FAULT COVERAGE TEST SOLUTION EASY AND FAST TEST PROGRAM DEVELOPMENT

TR8100LV SERIES TR8100LV HIGH-PERFORMANCE, HIGH THROUGHPUT IN-CIRCUIT TESTER HIGH FAULT COVERAGE TEST SOLUTION EASY AND FAST TEST PROGRAM DEVELOPMENT IN CIRCUIT TEST TR8100LV T R 8 1 0 0 L V I C T TR8100LV SERIES HIGH-PERFORMANCE, HIGH THROUGHPUT IN-CIRCUIT TESTER HIGH FAULT COVERAGE TEST SOLUTION EASY AND FAST TEST PROGRAM DEVELOPMENT FRIENDLY USER

More information

PCB Test & Programming Solutions from the IEEE Boundary-Scan Experts

PCB Test & Programming Solutions from the IEEE Boundary-Scan Experts PCB Test & Programming Solutions from the IEEE 1149.1 Boundary-Scan Experts Solutions for Today s Test and Programming Problems Throughout the electronics industry, manufacturers are turning to the latest

More information

Automated Accelerated Life Testing Data Collection on Thousands of DC Passive Parts

Automated Accelerated Life Testing Data Collection on Thousands of DC Passive Parts Automated Accelerated Life Testing Data Collection on Thousands of DC Passive Parts Jeremy Young Parts Materials and Processes Department Electronics and Sensors Division The Aerospace Corporation Microelectronics

More information

Why Optically Isolate Your Data Communication Lines?

Why Optically Isolate Your Data Communication Lines? Why Optically Isolate Your Data Communication Lines? A RS-232 Connection Look at the direct RS-232 connection at the figure.1. Do notice the direct ground connection through the RS-232? Being trained to

More information

DS WIRE INTERFACE 11 DECOUPLING CAP GND

DS WIRE INTERFACE 11 DECOUPLING CAP GND Rev ; 4/3 Hex Nonvolatile Potentiometer with General Description The contains six 256-position nonvolatile (NV) potentiometers, 64 bytes of NV user EEPROM memory, and four programmable NV I/O pins. The

More information

SCAN92LV090 9 Channel Bus LVDS Transceiver w/ Boundary SCAN

SCAN92LV090 9 Channel Bus LVDS Transceiver w/ Boundary SCAN 9 Channel Bus LVDS Transceiver w/ Boundary SCAN General Description The SCAN92LV090A is one in a series of Bus LVDS transceivers designed specifically for the high speed, low power proprietary backplane

More information

GX5295 DIGITAL I/O DYNAMIC DIGITAL I/O WITH PER CHANNEL PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD DESCRIPTION FEATURES

GX5295 DIGITAL I/O DYNAMIC DIGITAL I/O WITH PER CHANNEL PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD DESCRIPTION FEATURES DYNAMIC WITH PER CHANNEL PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD 32 input / output channels, dynamically configurable on a per channel basis 4 control / timing channels with programmable levels 256

More information

PART IN+ IN- TX_DISABLE TX_FAULT BIAS SET BIASMAX 2 APCSET 2 MODSET 2 MOD SET PC_MON BS_MON

PART IN+ IN- TX_DISABLE TX_FAULT BIAS SET BIASMAX 2 APCSET 2 MODSET 2 MOD SET PC_MON BS_MON Rev 1; 2/6 Dual, NV, Variable Resistors General Description The DS392 features a dual, nonvolatile (NV), low temperature-coefficient, variable digital resistor with 256 user-selectable positions. The DS392

More information

In-Circuit Test Vendor Support

In-Circuit Test Vendor Support In-Circuit Test Vendor Support February 1998, ver. 2 In-circuit testers are widely used for manufacturing tests and for the measurement of printed circuit board (PCB) systems. In-circuit testers can also

More information

Training Catalogue. Flying Probe and 3030 Testers. Electronic Industries. Author: D. Del Greco Date: 12 Dec 2017 Approved: F.

Training Catalogue. Flying Probe and 3030 Testers. Electronic Industries. Author: D. Del Greco Date: 12 Dec 2017 Approved: F. Training Catalogue Electronic Industries Flying Probe and 3030 Testers Author: D. Del Greco Date: 12 Dec 2017 Approved: F. Rimondotto Home Training Overview Multi Company Calendar Learning path All courses

More information

ATS-MFT 770 Multi-Function Test System for Test of Electronic PCBs, Modules and Devices

ATS-MFT 770 Multi-Function Test System for Test of Electronic PCBs, Modules and Devices ATS-MFT 770 Multi-Function Test System for Test of Electronic PCBs, Modules and Devices In-circuit Test: max. 4,320 channels (not multiplex) Function Test: analog, digital, microprocessor, power electronics,

More information

8. JTAG Boundary-Scan Testing in MAX V Devices

8. JTAG Boundary-Scan Testing in MAX V Devices December 2 MV58-. 8. JTAG Boundary-Scan Testing in MAX V Devices MV58-. This chapter describes the IEEE Std.49. (JTAG) boundary-scan testing for Altera MAX V devices. The IEEE Std. 49. BST circuitry available

More information

Flying Probe test platform

Flying Probe test platform Flying Probe test platform Pilot 4D is the most versatile and complete line of automatic flying probers, offering the widest range of solutions and performances on the market for a Flying Probe tester

More information

Slick Line Acquisition System Manual

Slick Line Acquisition System Manual SCIENTIFIC DATA SYSTEMS, INC. SLICK LINE ACQUISITION BOX Slick Line Acquisition System Manual This document contains proprietary information. Copyright 2005 Scientific Data Systems, Inc. All rights reserved.

More information

Construction Construction Instructions

Construction Construction Instructions Semi-Virtual Diskette SVD Construction Construction Instructions PCB version 2.0 September 2004 Eric J. Rothfus Table of Contents Table of Contents... i Parts List...1 Construction Overview...5 PCB Construction...

More information

ADVANCING ATE SYSTEMS WITH PXI BASED POWER-OFF DIAGNOSTIC INSTRUMENT TECHNOLOGY

ADVANCING ATE SYSTEMS WITH PXI BASED POWER-OFF DIAGNOSTIC INSTRUMENT TECHNOLOGY ADVANCING ATE SYSTEMS WITH PXI BASED POWER-OFF DIAGNOSTIC INSTRUMENT TECHNOLOGY Prepared by: Alan Howard Huntron, Inc. Eric Haltiner Market Access Group Intl, LLC Abstract - Typical military and aerospace

More information

Introduction to Electronics Workbench

Introduction to Electronics Workbench Introduction to Electronics Workbench Electronics Workbench (EWB) is a design tool that provides you with all the components and instruments to create board-level designs on your PC. The user interface

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

JTAG/Boundary Scan Design for Testability

JTAG/Boundary Scan Design for Testability JTAG/Boundary Scan Design for Testability Foresighted Board Level Design for Optimal Testability Get the total Coverage! 2 Table of Contents Table of Contents Table of Contents.... 2 A short Introduction

More information

1 of 5 23/01/ :14

1 of 5 23/01/ :14 1 of 5 23/01/2014 15:14 Login Register Home Products Services Support Contact Request for Quote Blog Search Products Flying Probe Systems Flying Scorpion FLS980Dxi Flying Scorpion FLS800 Sprint 4510 In-Circuit

More information

PIC Dev 14 Through hole PCB Assembly and Test Lab 1

PIC Dev 14 Through hole PCB Assembly and Test Lab 1 Name Lab Day Lab Time PIC Dev 14 Through hole PCB Assembly and Test Lab 1 Introduction: The Pic Dev 14 is a simple 8-bit Microchip Pic microcontroller breakout board for learning and experimenting with

More information

Automotive Electronics Council Component Technical Committee

Automotive Electronics Council Component Technical Committee ATTACHMENT 3 AEC - Q100-003 REV-E MACHINE MODEL ELECTROSTATIC DISCHARGE TEST Acknowledgment Any document involving a complex technology brings together experience and skills from many sources. The Automotive

More information

Endüstriyel Proje Tasarım Ar-Ge Ltd. Şti. Industrial Project Design R&D Co. Ltd. FADOS7F1 (10V3) FAULT DETECTOR AND PC OSCILLOSCOPE USER MANUAL

Endüstriyel Proje Tasarım Ar-Ge Ltd. Şti. Industrial Project Design R&D Co. Ltd. FADOS7F1 (10V3) FAULT DETECTOR AND PC OSCILLOSCOPE USER MANUAL Endüstriyel Proje Tasarım Ar-Ge Ltd. Şti. Industrial Project Design R&D Co. Ltd. FADOS7F1 (10V3) FAULT DETECTOR AND PC OSCILLOSCOPE USER MANUAL 1 PRODUCT DESCRIPTION FADOS7F1 Fault Detector and PC Oscilloscope

More information

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN CH. Maxim Integrated Products 1

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN CH. Maxim Integrated Products 1 9-675; Rev ; 4/ 32-Channel Sample/Hold Amplifier General Description The MAX567 contains 32 sample-and-hold amplifiers driven by a single multiplexed input. The control logic addressing the outputs is

More information

ABI Electronics. Test and measurement equipment for the development, maintenance and repair of PCBs.

ABI Electronics. Test and measurement equipment for the development, maintenance and repair of PCBs. Test and measurement equipment for the development, maintenance and repair of PCBs >Speed up processes with interactive test sequences >Reduce operator errors with automatic instrument setup >Detect fault

More information

A variety of ECONseries modules provide economical yet flexible solutions. Waveform Generation

A variety of ECONseries modules provide economical yet flexible solutions. Waveform Generation ECONseries BUS: USB Type: Economy, Mini-Instruments ECONseries Economy USB Mini-Instruments Flexible Yet Economical A variety of low-cost ECONseries modules are available to provide flexible yet economical

More information

DSP240-LPI Inverter Controller Card. Technical Brief

DSP240-LPI Inverter Controller Card. Technical Brief DSP240-LPI Inverter Controller Card Technical Brief September 2006 Manual Release 3.0 Card Revision 3.0 Copyright 2001-2006 Creative Power Technologies P.O. Box 714 MULGRAVE Victoria, 3170 Tel: +61-3-9543-8802

More information

2-Wire, 5-Bit DAC with Three Digital Outputs

2-Wire, 5-Bit DAC with Three Digital Outputs Rev 1; 6/4 2-Wire, 5-Bit DAC with Three Digital Outputs General Description The is a 5-bit digital-to-analog converter (DAC) with three programmable digital outputs. The communicates through a 2-wire,

More information

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC Borisav Jovanović, Miljana Sokolović, Milan Savić, Milun Jevtić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

TestJet/VTEP Hardware Description and Verification

TestJet/VTEP Hardware Description and Verification TestJet/VTEP Hardware Description and Verification Application Note Agilent Technologies Notices Agilent Technologies, Inc. 2008 No part of this document may be reproduced in any form or by any means (including

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

Assembly Instructions CT-E Screen Read Board

Assembly Instructions CT-E Screen Read Board Assembly Instructions CT-E Screen Read Board If you ever need to use your CT-1024 terminal system in a situation where you need to get edited information that has been typed onto the screen, transmitted

More information

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices December 1999, ver. 1.02 Application Note 116 Introduction APEX TM 20K, FLEX 10K, and FLEX 6000 devices can be configured using one of six configuration

More information

PIC Dev 14 Surface Mount PCB Assembly and Test Lab 1

PIC Dev 14 Surface Mount PCB Assembly and Test Lab 1 Name Lab Day Lab Time PIC Dev 14 Surface Mount PCB Assembly and Test Lab 1 Introduction: The Pic Dev 14 SMD is a simple 8-bit Microchip Pic microcontroller breakout board for learning and experimenting

More information

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314 a FEATURES 10-Bit Temperature-to-Digital Converter 35 C to +85 C Operating Temperature Range 2 C Accuracy SPI and DSP Compatible Serial Interface Shutdown Mode Space-Saving MSOP Package APPLICATIONS Hard

More information

Hybrid AC Driver [GCNC-1110]

Hybrid AC Driver [GCNC-1110] Page 1 Installation Manual and Datasheet Page 2 Key Features Smooth and quiet operation at all speeds and extremely low motor heating Industrial grade performance for an alternating current servo motor

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

CheckSum System Architecture

CheckSum System Architecture CheckSum System Architecture In-Circuit Test Systems Device Programming Systems Stable. Accurate. Reliable. Fast. Flexible. Dependable. Fully Tested An open test platform for all types of circuit assemblies

More information

PAS 9737/AI-SMT ENGINEERING SPECIFICATION

PAS 9737/AI-SMT ENGINEERING SPECIFICATION Document PAS54 Spec Revision C (7/3/8) PAS 9737/AI-SMT ENGINEERING SPECIFICATION 64 CHANNEL, 6 BIT VME ANALOG INPUT CARD PCB Revision D (7/3/8) Additional copies of this manual or other Precision Analog

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

output devices. connected to the controller. data communications link. relay systems. user program. MECH1500Quiz1ReviewVersion2 Name: Class: Date:

output devices. connected to the controller. data communications link. relay systems. user program. MECH1500Quiz1ReviewVersion2 Name: Class: Date: Class: Date: MECH1500Quiz1ReviewVersion2 True/False Indicate whether the statement is true or false. 1. The number and type of I/Os cannot be changed in a fixed PLC. 2. In a PLC system, there is a physical

More information

Physics 120/220 Lab Equipment, Hints & Tips

Physics 120/220 Lab Equipment, Hints & Tips Physics 120/220 Lab Equipment, Hints & Tips Solderless Breadboard... 2 Power supply... 4 Multimeters... 5 Function generator... 5 Oscilloscope... 6 10X probe... 7 Resistor color code... 7 Components...

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

DTL Series. Digitally Programmable, 10A/150V 100 Watt, Electronic Loads. DTL4A Model. Features. Applications

DTL Series. Digitally Programmable, 10A/150V 100 Watt, Electronic Loads. DTL4A Model. Features. Applications Digitally Programmable, A/150V 0 Watt, Electronic Loads Features 12-bit, optically isolated (500Vdc), CMOS/TTL-compatible serial input 0- Amp output in 2.44mA increments MΩ minimum output impedance Output

More information

RKP08 Component List and Instructions

RKP08 Component List and Instructions RKP08 Component List and Instructions PCB layout Constructed PCB RKP08 Scematic RKP08 Project PCB Page 1 Description The RKP08 project PCB has been designed to use PIC microcontrollers such as the Genie

More information

PC-CARD-DAS16/12 Specifications

PC-CARD-DAS16/12 Specifications Specifications Document Revision 1.1, February, 2010 Copyright 2010, Measurement Computing Corporation Typical for 25 C unless otherwise specified. Specifications in italic text are guaranteed by design.

More information

DS1845 Dual NV Potentiometer and Memory

DS1845 Dual NV Potentiometer and Memory www.maxim-ic.com FEATURES Two linear taper potentiometers -010 one 10k, 100 position & one 10k, 256 position -050 one 10k, 100 position & one 50k, 256 postition -100 one 10k, 100 position & one 100k, 256

More information

Agilent Medalist i p Software Release

Agilent Medalist i p Software Release 08.20p Software Release Release Notes The 08.20p software release is a complete installation that includes enhancements and improvements to software stability. In this release, we have enabled High Voltage

More information

DS1306. Serial Alarm Real Time Clock (RTC)

DS1306. Serial Alarm Real Time Clock (RTC) www.dalsemi.com FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 2100 96-byte nonvolatile RAM for data

More information

DM9051NP Layout Guide

DM9051NP Layout Guide NP Version: 1.1 Technical Reference Manual Davicom Semiconductor, Inc Version: NP-LG-V11 1 1. Placement, Signal and Trace Routing Place the 10/100M magnetic as close as possible to the (no more than 20mm)

More information

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems miked@geotestinc.com Silicon Valley Test Conference 2012 1 Agenda Geotest background Semiconductor market and trends PXI for semiconductor

More information

Agilent i p Software update. October 9, Santa Clara, CA. October 9, 2008

Agilent i p Software update. October 9, Santa Clara, CA. October 9, 2008 Agilent i3070 07.20p Software update Santa Clara, CA What s New in ver 7.20p? VTEP v2.0 Powered! with Cover-Extend Technology (CET) IEEE 1149.6 Solution (Phase 2) Enhancements (including enhanced guarding

More information

MP3 audio amplifier. Build Instructions. Issue 2.0

MP3 audio amplifier. Build Instructions. Issue 2.0 MP3 audio amplifier Build Instructions Issue 2.0 Build Instructions Before you put any components in the board or pick up the soldering iron, just take a look at the Printed Circuit Board (PCB). The components

More information