SoC Design Flow & Tools: SoC Testing

Size: px
Start display at page:

Download "SoC Design Flow & Tools: SoC Testing"

Transcription

1 SoC Design Flow & Tools: SoC Testing Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University

2 Outline l SoC Test Challenges l Test Access Mechanism l Core Test Wrapper l IEEE P1500 l Test Optimization l Analog/Mixed-Signal Testing 2

3 SoC Test Challenges

4 VLSI Realization Process Customer Needs Determine Requirements Write Specifications Design Synthesis and Verification Test Development Fabrication Manufacturing Test Chips to Customers 4

5 The Role of Testing l The role of testing is to detect whether something went wrong. l Diagnosis, on the other hand, tries to determine exactly what went wrong & where the process has to be altered. l Test objectives Design verification Ensure product quality Diagnosis & repair 5

6 What are we after? l Design errors Violations of design rules. Incorrect mapping between different levels of design Incomplete or inconsistent specification l Fabrication errors (caused by human errors) Wrong components Incorrect wiring Shorts caused by improper soldering 6

7 l Fabrication defects (caused by imperfect manufacturing process) Mask alignment errors Improper doping profiles l Physical failures Electro-migration or corrosion Aging/Wear-out of components Infancy failures 7

8 Types of Testing System specifications Architecture design Logic & Circuit design Physical design Layout Fabrication Packaging l l l l Transformation of customer requirements to system specifications is audited. The design is verified against the system specifications to ensure its correctness. Fabricated parts are subjected to characterization/production testing and/or incoming inspection to detect process defects. Failure mode analysis (FMA) is applied to failed parts 8

9 Characterization Testing l For design debug and verification Usually performed on a new design prior to mass production. Verify the correctness of the design & determine exact device limits. Comprehensive functional, DC and AC parametric tests are applied to a set of samples. Use of specialized equipment Scanning electron microscope (SEM) Electron beam tester l Results are used for setting final spec. and developing production testing program. 9

10 Production Testing l To enforce quality requirements Applied to every fabricated part. The test set is short but verify all relevant specifications, i.e., high coverage of modeled faults. l Test cost and time are the main drivers. 10

11 Burn-In Testing l Occurrence of potential failures can be accelerated at elevated temperature The main purpose is to screen out infant mortality l Methods of burn-in Static burn-in Dynamic burn-in Test during burn-in High-voltage stress burn-in The combinations of the above 11

12 Bathtub Curve of IC s Failure Rate l Early failure detection reduces cost Burn-in to isolate infant mortality failures Infant mortality period Normal lifetime Wear-out period Failure rate ~ 20 weeks 5 25 yrs Time 12

13 Incoming Inspection l Type of tests May be similar to or more comprehensive than production testing. May be tuned to specific applications. l May be performed by test house. l May be applied to random samples only. 13

14 The Principle of Testing 14

15 Test Quality Number of acceptable parts Yield Y = Number of fabricated parts Number of detected faults Fault CoverageT = Number of all possible faults Defect Level DL = 1- Y 1-T 15

16 Test Economy Cost of Manufacturing Testing in 2000 l GHz analog instruments with 1,024 digital pins: ATE purchase price = $1.2M + 1,024 x $3,000 = $4.272M Running cost (five-year linear depreciation) = Depreciation + Maintenance + Operation = $0.854M + $0.085M + $0.5M = $1.439M/year Test cost (24 hour ATE operation) = $1.439M/(365 x 24 x 3,600) = 4.5 cents/second 16

17 Technology Trend: System-on-Board to System-on-Chip Physical components System on Board Virtual components System on Chip 17

18 The Impact l SoC components are only manufactured and tested in the final system. SoB Process SoC Process IC Design ASIC Design Core Design UDL Design IC Fab. ASIC Fab. IC Test ASIC Test SOB Design SOB Fab. SOB Test SOC Design SOC Fab. SOC Test 18

19 Separation of Responsibilities l The core provider Test pattern generation for the cores. Core internal design-for-testability. l The core user Test generation for the chip Reuse of core-level test patterns. Additional test patterns for non-core circuitry. Chip-level design-for-testability. 19

20 SoC Test Challenges l Distributed design & test l Test access l Test optimization 20

21 Distributed Design & Test l In general, the core provider develops the core test including DfT & test patterns. l However, the core provider does not know the system chip environment Which test method to use? What type of faults to target? What level of fault coverage? which may lead to inadequate test quality, or waste of resources. 21

22 l Need a set of standardized set of deliverables. Test methods Test modes and protocols Fault models and fault coverage Test pattern data Core-internal design-for-test Core-internal design-for-diagnosis Diagnostics and failure analysis information 22

23 Test Access l Direct access to deeply embedded cores is difficult. l It s not uncommon that core s I/O pin count > SoC s I/O pin count l To test each core, we need to provide core test access, and core isolation mechanism. 23

24 Test Optimization l l Test access infrastructure optimization Test quality vs. overhead Constrained test scheduling Overall test time Power consumption Test access bandwidth Available test resources Other considerations Core a Core b Core c Core d Core e Core g Core f Time 24

25 A Conceptual SoC Test Architecture

26 A Conceptual SoC Architecture Source TAM CUT TAM Sink Wrapper l l l Test pattern source and sink The source generates the test stimuli for the embedded core. The sink compares the responses to the expected responses. Test access mechanism (TAM) Test data transport from the test source to the CUT and from the CUT to the test sink. Core test wrapper Connects the terminals of the core to the rest of the IC and the TAM. [Zorian, Marinissen, Dey ITC98] 26

27 A Conceptual Test Architecture DAC mp SRAM Source PCI TAM Wrapper CUT TAM Sink ROM DSP 27

28 On/Off-Chip Test Source/Sink Source DAC PCI ROM mp CUT DSP SRAM Sink On-chip Source/Sink Closer to CUT Less TAM area Less dependence on ATE BIST area overhead Off-chip Source/Sink Bandwidth limited by pin count More TAM area More expensive ATE Src. DAC PCI src mp CUT SRAM snk Snk. ROM DSP 28

29 Test Access Mechanism (TAM)

30 TAM l Function Deliver test stimuli from the test source to the CUT. Transport test responses from the CUT to the test sink. l TAM design involves making trade-offs among data transport capacity, test time, and TAM overhead. 30

31 TAM Width l Determines the test data transport bandwidth. l Considerations A wider TAM shortens the test time, but consumes more wiring area. The width of the test source and sink. Available IC pins if external test source/sink. l Constraints to meet Test time Area overhead 31

32 TAM Length l Physical distance l Ways to reduce TAM length On-chip test sources and/or sinks. Sharing TAM among cores can shorten the total TAM length. Reduced wiring area. Possibly reduced test concurrency. Reusing functional hardware as TAM. May not meet the desired test time constraint. 32

33 TAM Implementations l l l l l Direct access scheme Immaneni, Raman ITC90 Bus-based scheme Varma, Bhatia ITC98, Harrod ITC99 Transparency Beenker D&T86, Beenker 95, Marinissen TECS97, Ghosh et al. ITC97, CAC98 Boundary-scan based Whetsel ITC97, Bhattacharya VTS98, Touba, Pouya D&T97, ITC97 Test Rail Marinissen et al. ITC98 33

34 Direct Access Scheme l Map all core inputs, outputs, and I/O onto package pins. l In test mode, the I/Os of the selected core are accessible through a group of package pins. Each core can be tested with its standard test program. l Test isolation provided and cores are tested independently. [Immaneni, Raman ITC90] 34

35 Direct Access Scheme l Modification to user logic block. TSEL TMODE > Embedded Output Embedded Bidirectional User In Test In Primary Input Input > > User Logic Block > <> > Dir Ctrl Bi-Dir Embedded Bidirectional Control Primary Output <> Primary Bidirectional Output 35

36 Direct Access Scheme l An implementation example UIN TIN TMODE Out TSEL TSEL1 Output Pad Input Pad UIN TIN TMODE Out TSEL TSEL2 User Signal Output Pad Input Pad Input Pad TMODE UIN TIN TMODE Out TSEL TSEL3 Test Control Logic 36

37 Remarks l Advantages Embedded cores can be tested and debugged as a stand-alone device. Transition from core-level test to chip level test is simple. A slight increase in overall package pin count and design complexity. l Drawbacks Not scalable. The complexity of control logic and test circuitry grows with the number of embedded cores. Long test time. Blocks are tested sequentially. 37

38 Bus-Based TAM l Utilizing on-chip system bus or dedicated test bus for test data transport. Varma, Bhatia ITC98 Harrod ITC99 Src. DAC mp SRAM ROM DSP Sink 38

39 Test Bus l Architecture overview. TDI/O Core Core TDI TDO Test Ctrl Test Bus [Varma, Bhatia ITC98] 39

40 Test Bus l An example Core Core Input Test Bus Core 72 Core Output Test Bus 40

41 Remarks l Advantages Compatible with IEEE Flexible, scalable. l Drawbacks Performance degradation. Area overhead. 41

42 AMBA Bus-Based Testing l Test vectors produced for an AMBA-compliant IP block can be reused in any AMBA-based system. l The AMBA Test Interface Controller (TIC) is responsible for test application and response capture. l In test mode TIC becomes the AMBA bus master. The external bus interface (EBI) is reconfigured to provide a high-speed, 32-bit, parallel vector interface. [Harrod ITC99] 42

43 AMBA Bus-Based Testing l Peripheral test harness Access to I/O s not connected to the bus. Isolate the core under test from its environment. 43

44 AMBA Bus-Based Testing 44

45 Remarks l Advantages Reusing system bus reduces TAM overhead. Transition from core-level test to chip-level test is simple. l Drawbacks Fixed bus width may be insufficient for some cores. Difficult to integrate full-scanned cores. 45

46 Transparency DAC mp SRAM Source PCI CUT Sink ROM DSP 46

47 Transparency l Transparent path A path from input to output which propagates data without information loss. l Examples Scan chains Arithmetic functions: + 0, x1 Embedded memories Basic gates: AND, OR, INV, MUX l Past techniques Beenker D&T86, Beenker 95, Marinissen TECS97, Ghosh et al. ITC97, CAC98 47

48 Remarks l Advantages Low area overhead l Drawbacks Transport latency through cores The desired transparency is not guaranteed. Too much transparency waste. Too little transparency TAM needed. Non-trivial transition of core-level test to chip-level test. 48

49 Boundary Scan l An IEEE compliant chip. l board-level view. TCK TMS TDI TDI Digital circuit TDO TDI TDI TMS TAP controller TDO TCK TDO TDI : Boundary scan cell TDO TDO : Boundary scan path 49

50 Remarks l Advantages Existing well-known, well-documented standard. Reuse IC level implementation. l Drawbacks Fixed 1-bit TAM width. Complexity of test control and test data wiring grows with the number of cores. Multiple TAP controllers. 50

51 Test Rail l IC level view. Core A Core B1 Core C Core E1 8 Core D1 Core 1F 4 12 [Marinissen et al. ITC98] 51

52 Test Rail l Core level view. Core Parallel Serial Decompression Core Compression Compressed Core 52

53 Test Rail l An example. A: 4 scan chains. B: BIST C: Functional test A Decomp. B Comp. C 53

54 Remarks l Advantages Flexible Enables integration of various core test techniques. Scalable Allows trade-offs between area, quality, and test time. l Drawbacks Difficult to find optimal solution. 54

55 Multiplexing Architecture Core A Core B Core C [Aerts & Marinissen ITC98] 55

56 Daisy Chain Architecture Core A Core B Core C [Aerts & Marinissen ITC98] 56

57 Distributed Architecture Core A Core B Core C [Aerts & Marinissen ITC98] 57

58 Remarks l Different types of TAMs may coexist on a single chip. l Cores connected to different TAMs can be tested concurrently. l Cores connected to the same TAM cannot be tested concurrently. 58

59 Core Test Wrapper

60 Core Test Wrapper l Function Interface between the core and its environment. Width adaptation Provision of the following modes Normal: function mode InTest: inward-facing core test mode ExTest: outward-facing interconnect test mode l Considerations Test time. Performance degradation. Area overhead. 60

61 Functional Only Connection Scan Chain Scan Chain Core A Scan Chain Scan Chain Core B Scan Chain Scan Chain Core C 61

62 Wrapper & TAM Bypass Bypass Bypass Scan Chain Scan Chain Core A Scan Chain Scan Chain Core B Scan Chain Scan Chain Core C est Control Test Control Test Control 62

63 Normal Operation Bypass Bypass Bypass Scan Chain Scan Chain Core A Scan Chain Scan Chain Core B Scan Chain Scan Chain Core C est Control Test Control Test Control 63

64 InTest Bypass Bypass Bypass Scan Chain Scan Chain Core A Scan Chain Scan Chain Core B Scan Chain Scan Chain Core C est Control Test Control Test Control 64

65 ExTest Bypass Bypass Bypass Scan Chain Scan Chain Core A Scan Chain Scan Chain Core B Scan Chain Scan Chain Core C est Control Test Control Test Control 65

66 Bypass Bypass Bypass Bypass Scan Chain Scan Chain Core A Scan Chain Scan Chain Core B Scan Chain Scan Chain Core C est Control Test Control Test Control 66

67 IEEE P1500 Standard for Embedded Core Test (SECT)

68 Core-Based Test l The core provider delivers the core design itself, and a set of tests for the core. l The core user assembles a chip-level test from the pre-defined tests for the various cores, and additional tests for non-core circuitry. l A test as described above, in which cores are tested as stand-alone units, is called a corebased test. 68

69 P1500 Overview l l IEEE P1500 SECT is a standard under development that aims at improving ease of reuse, and facilitating interoperability with respect to the test of core-based ICs, especially when they are from different sources. Main components Standardized, scalable core test wrapper Core test Information Model Core Test Language (CTL) Two Compliance levels IEEE 1500 Unwrapped IEEE 1500 Wrapped 69

70 A System Chip with P1500 Wrapped Cores 70

71 P1500 Wrapper l Modes Transparent functional mode Inward-facing for core-internal tests (InTest) Outward-facing for core-external tests (ExTest) l Interface One Single-bit TAM plug is mandatory. Optional Multi-bit TAM plug. Optional width adaptation for TAM plugs. Optional modes similar to JTAG s preload and clamp. 71

72 The P1500 Wrapper Architecture 72

73 The P1500 Wrapper Boundary Cell l l Cell modes Normal, Inward facing, Outward facing, Safe Cell events Shift, Capture, Apply, Update, Transfer 73

74 P1500 Wrapper Parameters l Bandwidth Number and/or width of WPI-WPO pairs. l Instructions Optional instructions User-defined instructions OpCodes of instructionse l WBR functionality Shared or dedicated wrapper cells Shift-only or Shift + Update cells Storage capacity (one or more bits) Ripple protection (w/ Update register or gate) Safe State output values 74

75 Test Optimization

76 Problem Statement l Given the test related information of each core, e.g., test pattern, I/O, etc., minimize the test cost. l Main test cost components DfT: Area overhead, performance degradation Test development Manufacturing test: test time l Other considerations Power dissipation Place & route constraints 76

77 Test Scheduling Techniques l Co-optimization of all HW/SW parameters is too expensive. l Most researches deal with a subset of the parameters. l Test scheduling approaches Tree growing [Jone et al. DAC89, Muresan et al., ITC00] List scheduling [Muresan et al. VTS00] ILP formulation [Chakrabarty et al. ICCAD99, Nourani et al. ITC00] Simulated annealing [Larsson et al. ICCAD01] 77

78 Tree Growing Approaches [Jone et al. DAC89, Muresan et al., ITC00] l Test time minimization under power constraints. l The test scheduling information is embedded in he tree structure. l Tree growing constraints (along a path from root to leaf) Test resources Test length Power constraint 78

79 t 1 t 7 t 8 t 3 t 5 t g1 t 4 t 2 t g2 t 6 t g3 t 1 t 7 t 8 t 3 t 5 t g1 t 4 t 2 t g2 t 9 t g3 79

80 ILP Formulation [Chakrabarty et al. ICCAD99, Nourani et al. ITC00] l The test optimization problem is described as: Minimize Ax + By subject to Cx + Dy E x 0 y 0 where A and B are cost vectors, C and D are constraint matrices, E is a column vector of constants, x is a vector of integer variables, and y is a vector of real variables. 80

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2 CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina Embedded Testing (ΙΕΕΕ 1500 Std. SECT) Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques

More information

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 8 Test Standards Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline 1149.1 standard for system-on-board 1500 standard for system-on-chip Advanced

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

Programovatelné obvody a SoC. PI-PSC

Programovatelné obvody a SoC. PI-PSC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programovatelné obvody a SoC. PI-PSC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Preliminary Outline of the IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Preliminary Outline of the IEEE P1500 Scaleable Architecture for Testing Embedded Cores Preliminary Outline of the Scaleable Architecture for Testing Embedded Cores Mike Ricchetti and Fidel Muradali VTS99 Dana Point, CA April 28, 1999 Architecture Task Force, 1999 Presentation Outline Introduction

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey

EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey Q. Xu and N. Nicolici Abstract: Manufacturing test is a key step in the implementation

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

Testing Embedded-Core Based System Chips

Testing Embedded-Core Based System Chips Testing Embedded-Core Based System Chips Yervant Zorian Erik Jan Marinissen Sujit Dey LogicVision 101 Metro Drive Third floor San Jose, CA 95110 U.S.A. zorian@lvision.com Philips Research Dept. VLSI Design

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

POLITECNICO DI TORINO Repository ISTITUZIONALE

POLITECNICO DI TORINO Repository ISTITUZIONALE POLITECNICO DI TORINO Repository ISTITUZIONALE A Hierachical Infrastrucutre for SOC Test Management Original A Hierachical Infrastrucutre for SOC Test Management / Benso A.; Di Carlo S.; Prinetto P.; Zorian

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

About the Instructor

About the Instructor About the Instructor Kwang-Ting (Tim) Cheng PhD, 1988, Univ. of California, Berkeley 1988-1993: AT&T Bell Labs 1993-Present: Professor, Dept. of ECE, Univ. of California, Santa Barbara 1999-2002: Director,

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs by Brandon Noia Department of Electrical and Computer Engineering Duke University Date: Approved: Krishnendu Chakrabarty, Supervisor

More information

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores Unapproved Working Document Overview the Proposed Scaleable Architecture for Testing Embedded Cores Presented on behalf of the CTAG Team by Mike Ricchetti June 7th, at DAC 2000 Architecture Task Force,

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

An Industrial Approach to Core-Based System Chip Testing

An Industrial Approach to Core-Based System Chip Testing An Industrial Approach to Core-Based System Chip Testing Erik Jan Marinissen Philips Research Abstract: Key words: System chips are increasingly being designed by embedding reusable predesigned and pre-verified

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Automating IEEE 1500 Core Test An EDA Perspective

Automating IEEE 1500 Core Test An EDA Perspective IEEE Std 1500 and Its Usage Automating IEEE 1500 Test An EDA Perspective Krishna Chakravadhanula and Vivek Chickermane Cadence Design Systems Editor s note: Standardized design and test practices enable

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

Abstract. 1 Introduction. 2 Time Domain Multiplexed TAM

Abstract. 1 Introduction. 2 Time Domain Multiplexed TAM Time Domain Multiplexed TAM: Implementation and Comparison Zahra sadat Ebadi and Andre Ivanov Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC, Canada V6T

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

P1149.1A Extensions to IEEE-STD

P1149.1A Extensions to IEEE-STD AN-890 Fairchild Semiconductor Application Note February 1994 Revised May 2001 P1149.1A Extensions to IEEE-STD-1149.1-1990 Abstract Since publication of IEEE-1149.1-1990/ANSI 1, 2, 3, extensions and requests

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Design of System-on-a-Chip Test Access Architectures using Integer Linear Programming 1

Design of System-on-a-Chip Test Access Architectures using Integer Linear Programming 1 Design of System-on-a-Chip Test Access Architectures using Integer Linear Programming 1 Krishnendu Chakrabarty Department of Electrical & Computer Engineering Duke University Durham, C 27708, USA Abstract

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation Using Mentor Questa for Pre-silicon Validation of IEEE 1149.1-2013 based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation INTRODUCTION IEEE 1149.1-2013 is not your father s JTAG.

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

A Non-Intrusive Isolation Approach for Soft Cores

A Non-Intrusive Isolation Approach for Soft Cores A Non-Intrusive Isolation Approach for Soft Cores Ozgur Sinanoglu Math & Computer Science Department Kuwait University Safat, Kuwait 13060 ozgur@sci.kuniv.edu.kw Tsvetomir Petrov Qualcomm CDMA Technologies

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

Boundary-scan test for structural fault detection

Boundary-scan test for structural fault detection Boundary-scan test for structural fault detection J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 351 225 81 889 / Fax: 351 225 81 443 [ jmf@fe.up.pt ] Tallinn Technical

More information

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil Test of NoCs and NoC-based Systems-on-Chip Érika Cota Marcelo Lubaszewski UFRGS, Brazil 1 A small world... San Diego USA Porto Alegre Brazil 2 1 Where we are 3 Porto Alegre 4 2 Porto Alegre and UFRGS Porto

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Wrapper/TAM Co-Optimization and Test Scheduling for SOCs Using Rectangle Bin Packing Considering Diagonal Length of Rectangles

Wrapper/TAM Co-Optimization and Test Scheduling for SOCs Using Rectangle Bin Packing Considering Diagonal Length of Rectangles Wrapper/TAM Co-Optimization and Test Scheduling for SOCs Using Rectangle Bin Packing Considering Diagonal Length of Rectangles Md. Rafiqul Islam, Muhammad Rezaul Karim, Abdullah Al Mahmud, Md. Saiful Islam

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Keysight Technologies ABCs of Writing a Custom Boundary Scan Test

Keysight Technologies ABCs of Writing a Custom Boundary Scan Test Keysight Technologies ABCs of Writing a Custom Boundary Scan Test Article Reprint This article was first published in Circuits Assembly, Printed Circuit Design and Fab in October, 2014. Reprinted with

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

Test Planning and Design Space Exploration in a Core-based Environment Λ

Test Planning and Design Space Exploration in a Core-based Environment Λ Test Planning and Design Space Exploration in a Core-based Environment Λ Érika Cota y Luigi Carro y Alex Orailoglu z Marcelo Lubaszewski y yppgc - Instituto de Informática Universidade Federal do Rio Grande

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC Borisav Jovanović, Miljana Sokolović, Milan Savić, Milun Jevtić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic

More information

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

SCANWORKS TEST DEVELOPMENT STATION BUNDLE SCANWORKS TEST DEVELOPMENT STATION BUNDLE The ScanWorks Test Development Station is the most powerful set of boundary-scan test development and application tools available. It not only includes all the

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

IJTAG (Internal JTAG): A Step Toward a DFT Standard

IJTAG (Internal JTAG): A Step Toward a DFT Standard IJTAG (Internal JTAG): A Step Toward a DFT Standard Jeff Rearick, Al Crouch, Ken Posse, Ben Bennets, Bill Eklow This paper is to appear at: 2005 International Test Conference Purpose Provide background

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Aeroflex Colorado Springs Application Note

Aeroflex Colorado Springs Application Note Synchronous SRAM (SSRAM) JTAG Operation Table : Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD # Device Type Internal PIC #. Overview 64Mbit Synchronous SRAM UT8SP2M32

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips 1

Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips 1 Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips Anuja Sehgal, Sandeep Kumar Goel, Erik Jan Marinissen and Krishnendu Chakrabarty Advanced Micro Devices Philips

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Boundary-Scan Tutorial

Boundary-Scan Tutorial See the ASSET homepage on the World Wide Web at http://www.asset-intertech.com ASSET, the ASSET logo and ScanWorks are registered trademarks, and DFT Analyzer is a trademark of ASSET InterTech, Inc. Windows

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

System Level Instrumentation using the Nexus specification

System Level Instrumentation using the Nexus specification System Level Instrumentation using the Nexus 5001-2012 specification Neal Stollon, HDL Dynamics Chairman, IEEE 5001 Nexus Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions System

More information

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in automotive: Outline marketing requirements

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab Fault management in an IEEE P1687 (IJTAG) environment Erik Larsson and Konstantin Shibin Lund University Testonica Lab otivation Semiconductor technology development enables design and manufacturing of

More information

BIST-Based Test and Diagnosis of FPGA Logic Blocks

BIST-Based Test and Diagnosis of FPGA Logic Blocks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 1, FEBRUARY 2001 159 BIST-Based Test and Diagnosis of FPGA Logic Blocks Miron Abramovici, Fellow, IEEE, and Charles E. Stroud,

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information