Forthcoming Cross Point ReRAM. Amigo Tsutsui Sony Semiconductor Solutions Corp

Size: px
Start display at page:

Download "Forthcoming Cross Point ReRAM. Amigo Tsutsui Sony Semiconductor Solutions Corp"

Transcription

1 Forthcoming Cross Point ReRAM Amigo Tsutsui Sony Semiconductor Solutions Corp

2 ReRAM: High Speed and Low Power PCM Two states of phase change material Based on thermal operation Amorphous: low resistance Crystalline: high resistance Reset Current: over 100uA Power Barrier Over 600 I (A) HRS Reset HRS LRS Set ReRAM Two states of ReRAM material Based on electric operation Metal bridge(pillar): low resistance No bridge(pillar): high resistance Set/Reset Current: ~50uA Set/Reset Time: <100ns LRS HRS Set Time: over 500ns Performance Barrier V (V) 2

3 ReRAM: Faster Switching Possibility <5ns Set Reset Resistance (W) Active cell area Set pulse width 40 nmφ 5 ns Set current 110 µa Set voltage Reset pulse width +3 V 1 ns Reset current 125 µa Reset voltage 1.7 V 10year 100M 10M 1M 100k 10k Set / Reset cycle test 1k Cycle (#) K. Aratani, A Novel Resistance Memory with High Scalability and Nanosecond Switching IEDM 2007 Retention Time [Hour] year Retention test Temperature [ c ] / T [1/K] Set pulse : 5 ns Set energy : ~ J/bit Reset pulse : 1 ns Reset energy : ~ J/bit 3

4 (XP) Cross Point ReRAM Cell dimension 4F 2 Multiple decks feasible CMOS underneath array WL Socket Word Line BL Socket Bit Line Word Line Selector ReRAM Memory cell 4

5 Cross Point Array Bipolar Operation Positive bias for Set and Read, negative bias for Reset Half-selected cells (½ Vset or ½ Vreset) SHOULD NOT turn on. This limitation is from XP architecture. Set and Read Reset (Positive bias for cell) ½ Vset or ½ Vread (Negative bias for cell) -½ Vreset -½ Vset or -½ Vread ½ Vreset 5

6 Selector for Cross Point ReRAM TEM cross section Two key technologies have been developed for 100Gb-class Persistent Memory,,,,, S. Yasuda, A Cross Point Cu-ReRAM with a Novel OTS Selector VLSI Symposium 2017 Cross section Transient Analysis Pulsed JV curve Vsnap 1S1R device can Set/Reset in 10ns. 6

7 Possible Performance XP ReRAM XP ReRAM + Controller Item Target Item Target R/W sector size 512B R/W sector size 512B Read Throughput Read Latency 3.2GB/s 0.4us Controller: 1us (ECC, WL, etc.) Read Throughput Read Latency 3.2GB/s 1.4us Write Throughput 1.2GB/s Write Throughput 1.2GB/s Write Latency 2us Write Latency 2.4us Item 8chip Target 16chip Target R/W size 4kB 8kB Read Throughput 25.6GB/s 51.2GB/s Read Latency 1.4us 1.4us Write Throughput 9.6GB/s 19.2GB/s Write Latency 2.4us 2.4us 7

8 Example : Power Barrier for Small Form Factor CT L LP 4 CTL cm ReRAM Item 8chip Target 16chip Target R/W size 4kB 8kB Read Throughput 25.6GB/s 51.2GB/s Read Latency 1.4us 1.4us Write Throughput 9.6GB/s 19.2GB/s Write Latency 2.4us 2.4us PCIe Gen5 x8 PCIe Gen5 x16 If CTL > 5W and ReRAM > 1.2W, Total in 8chip >14.6W If CTL > 8W and ReRAM > 1.2W, Total in 16chip >27.2W ReRAM power is less than PCM, but bigger than DRAM Need to reduce power of ReRAM and controller Care for thermal budget of small form factor 8

9 Summary XP ReRAM is expected to high performance application such as Persistent Memory The power consumption must be considered for small form factor application The dedicated controller design is also needed to reduce the total power 9

A Step Ahead in Phase Change Memory Technology

A Step Ahead in Phase Change Memory Technology A Step Ahead in Phase Change Memory Technology Roberto Bez Process R&D Agrate Brianza (Milan), Italy 2010 Micron Technology, Inc. 1 Outline Non Volatile Memories Status The Phase Change Memories An Outlook

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns

Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns March 12, 2018 Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns Wen Wen Lei Zhao, Youtao Zhang, Jun Yang Executive Summary Problems: performance and reliability of write operations

More information

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Chao Sun 1, Asuka Arakawa 1, Ayumi Soga 1, Chihiro Matsui 1 and Ken Takeuchi 1 1 Chuo University Santa Clara,

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality

Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality Analysis on Resistive Random Access Memory (RRAM) 1S1R Array Zizhen Jiang I. Introduction Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality and performance

More information

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash?

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Dr. Mostafa Abdulla High-Speed Engineering Sr. Manager, Micron Marc Greenberg Product Marketing Director, Cadence August 19, 2010 Flash Memory

More information

Architecture for Carbon Nanotube Based Memory (NRAM)

Architecture for Carbon Nanotube Based Memory (NRAM) Architecture for Carbon Nanotube Based Memory () Bill Gervasi Principal Systems Architect 18 August 2018 Agenda 2 Carbon nanotube basics Making & breaking connections Resistive measurements Write endurance,

More information

Onyx: A Prototype Phase-Change Memory Storage Array

Onyx: A Prototype Phase-Change Memory Storage Array Onyx: A Prototype Phase-Change Memory Storage Array Ameen Akel * Adrian Caulfield, Todor Mollov, Rajesh Gupta, Steven Swanson Non-Volatile Systems Laboratory, Department of Computer Science and Engineering

More information

Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories

Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories Chihiro Matsui, Tomoaki Yamada, Yusuke Sugiyama, Yusuke Yamaga, and Ken Takeuchi Chuo University, Japan Santa Clara, CA 1

More information

Phase Change Memory: Replacement or Transformational

Phase Change Memory: Replacement or Transformational Phase Change Memory: Replacement or Transformational Hsiang-Lan Lung Macronix International Co., Ltd IBM/Macronix PCM Joint Project LETI 4th Workshop on Inovative Memory Technologies 06/21/2012 PCM is

More information

Monolithic 3D Flash NEW TECHNOLOGIES & DEVICE STRUCTURES

Monolithic 3D Flash NEW TECHNOLOGIES & DEVICE STRUCTURES Monolithic 3D Flash Andrew J. Walker Schiltron Corporation Abstract The specter of the end of the NAND Flash roadmap has resulted in renewed interest in monolithic 3D approaches that continue the drive

More information

Evaluating Phase Change Memory for Enterprise Storage Systems

Evaluating Phase Change Memory for Enterprise Storage Systems Hyojun Kim Evaluating Phase Change Memory for Enterprise Storage Systems IBM Almaden Research Micron provided a prototype SSD built with 45 nm 1 Gbit Phase Change Memory Measurement study Performance Characteris?cs

More information

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances Jim Handy Objective Analysis Tom Coughlin Coughlin Associates The Market is at a Nexus PM 2 Emerging Memory Technologies MRAM: Magnetic

More information

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects M. Durlam, P. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. Engel, N. Rizzo, G. Grynkewich, B.

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

MRAM Developer Day 2018 MRAM Update

MRAM Developer Day 2018 MRAM Update MRAM Developer Day 2018 MRAM Update Barry Hoberman August 2018 1 Disclaimer Observations and opinions >35 years experience in wide variety of memory >12 years experience in MRAM 2012-2017 CEO/Chairman

More information

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero High Density, High Reliability Carbon Nanotube NRAM Thomas Rueckes CTO Nantero Nantero Overview Founded in 2001 to develop nonvolatile memory using carbon nanotubes (CNT) for high density standalone and

More information

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd.

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd. Performance & Reliability Driven Memory solution MacronixInternational Co., Ltd. About Macronix A Leading Non-Volatile Memory Solutions Provider Founded in 1989 Headquarters Hsin-Chu, Taiwan Total5280

More information

High Performance and Highly Reliable SSD

High Performance and Highly Reliable SSD High Performance and Highly Reliable SSD -Proposal of the Fastest Storage with B4-Flash - Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 Big Data comes

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

Logic based ReRAM assisted by selfadaptive circuit for embedded applications

Logic based ReRAM assisted by selfadaptive circuit for embedded applications Logic based ReRAM assisted by selfadaptive circuit for embedded applications Prof. Yinyin Lin ASIC and System Key Lab, Fudan University, Shanghai, 201203, China ª Motivation Outline ª Self-adaptive FS-DSUR

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

Line RC Delay and Mobility issue

Line RC Delay and Mobility issue Line RC Delay and Mobility issue RC delay (Signal line) RC delay becomes a dominant factor above UHD Mobility (TFT) Line signal decay becomes a serious issue! e e e e e e e 0.5 ~ 1.5 um thick Cu lines

More information

Type Version Ordering Code Package PEB 2025-N V 1.5 Q67100-H6300 P-LCC-28-R (SMD) PEB 2025-P V 1.5 Q67100-H6241 P-DIP-22

Type Version Ordering Code Package PEB 2025-N V 1.5 Q67100-H6300 P-LCC-28-R (SMD) PEB 2025-P V 1.5 Q67100-H6241 P-DIP-22 ISDN Exchange Power Controller (IEPC) PEB 2025 CMOS IC Features Supplies power to up to four transmission lines CCITT recommendations compatible for power feed at the S interface Each line is individually

More information

IBM High IOPS SSD PCIe Adapters IBM System x at-a-glance guide

IBM High IOPS SSD PCIe Adapters IBM System x at-a-glance guide IBM High IOPS SSD PCIe Adapters IBM System x at-a-glance guide The IBM High IOPS SSD PCIe Adapters provide a new generation of ultra-high-performance storage based on solid state device technology for

More information

Semiconductor Memory II Future Memory Trend

Semiconductor Memory II Future Memory Trend Semiconductor Memory II Future Memory Trend Seong-Ook Jung 2010. 4. 2. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Future memory trend

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

Adding CEA-LETI Non Volatile Memories for new design exploration

Adding CEA-LETI Non Volatile Memories for new design exploration Adding CEA-LETI Non Volatile Memories for new design exploration Etienne NOWAK CEA-Leti Head of the Advanced Memory Device Laboratory etienne.nowak@cea.fr NON VOLATILE MEMORY (NVM) MARKET TRENDS Low/No

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 17

ECE 571 Advanced Microprocessor-Based Design Lecture 17 ECE 571 Advanced Microprocessor-Based Design Lecture 17 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 April 2018 HW8 is readings Announcements 1 More DRAM 2 ECC Memory There

More information

NVM PCIe Networked Flash Storage

NVM PCIe Networked Flash Storage NVM PCIe Networked Flash Storage Peter Onufryk Microsemi Corporation Santa Clara, CA 1 PCI Express (PCIe) Mid-range/High-end Specification defined by PCI-SIG Software compatible with PCI and PCI-X Reliable,

More information

Scalable High Performance Main Memory System Using PCM Technology

Scalable High Performance Main Memory System Using PCM Technology Scalable High Performance Main Memory System Using PCM Technology Moinuddin K. Qureshi Viji Srinivasan and Jude Rivers IBM T. J. Watson Research Center, Yorktown Heights, NY International Symposium on

More information

A+ Guide to Hardware: Managing, Maintaining, and Troubleshooting, 5e. Chapter 1 Introducing Hardware

A+ Guide to Hardware: Managing, Maintaining, and Troubleshooting, 5e. Chapter 1 Introducing Hardware : Managing, Maintaining, and Troubleshooting, 5e Chapter 1 Introducing Hardware Objectives Learn that a computer requires both hardware and software to work Learn about the many different hardware components

More information

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Guangyu Sun 1, Yaojun Zhang 2, Yu Wang 3, Yiran Chen 2 1 Center for Energy-efficient Computing and Applications, Peking University

More information

AdaMS: Adaptive MLC/SLC Phase-Change Memory Design for File Storage

AdaMS: Adaptive MLC/SLC Phase-Change Memory Design for File Storage B-2 AdaMS: Adaptive MLC/SLC Phase-Change Memory Design for File Storage Xiangyu Dong and Yuan Xie Department of Computer Science and Engineering Pennsylvania State University e-mail: {xydong,yuanxie}@cse.psu.edu

More information

DIRECT Rambus DRAM has a high-speed interface of

DIRECT Rambus DRAM has a high-speed interface of 1600 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 11, NOVEMBER 1999 A 1.6-GByte/s DRAM with Flexible Mapping Redundancy Technique and Additional Refresh Scheme Satoru Takase and Natsuki Kushiyama

More information

Phase Change Memory and its positive influence on Flash Algorithms Rajagopal Vaideeswaran Principal Software Engineer Symantec

Phase Change Memory and its positive influence on Flash Algorithms Rajagopal Vaideeswaran Principal Software Engineer Symantec Phase Change Memory and its positive influence on Flash Algorithms Rajagopal Vaideeswaran Principal Software Engineer Symantec Agenda Why NAND / NOR? NAND and NOR Electronics Phase Change Memory (PCM)

More information

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Future Memories. Jim Handy OBJECTIVE ANALYSIS Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

t o E n a b l e E m e r g i n g S S D F o r m F a c t o r s

t o E n a b l e E m e r g i n g S S D F o r m F a c t o r s U s i n g O p e n B u i l d i n g B l o c k s t o E n a b l e E m e r g i n g S S D F o r m F a c t o r s Nigel Alvares VP of SSD & Data Center Storage Solutions, Marvell Semiconductor Data Centers are

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Q SERIES. Proven Reliability NOW UL RECOGNIZED. ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 0.5 and 1.25 Watts PRODUCT OVERVIEW

Q SERIES. Proven Reliability NOW UL RECOGNIZED. ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 0.5 and 1.25 Watts PRODUCT OVERVIEW Proven Reliability Q SERIES ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 10,000V @ 0.5 and 1.25 Watts PRODUCT OVER NOW UL RECOGNIZED PRODUCT DESCRIPTION The Q Series is a broad line of ultra-miniature,

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

Q SERIES. Proven Reliability NOW UL RECOGNIZED. ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 0.5 Watts PRODUCT OVERVIEW MODELS

Q SERIES. Proven Reliability NOW UL RECOGNIZED. ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 0.5 Watts PRODUCT OVERVIEW MODELS Proven Reliability Q SERIES ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 10,000V @ 0.5 Watts PRODUCT OVER NOW UL RECOGNIZED VOLTAGE* 2 MODELS 100 VDC Q01 150 VDC Q015 PRODUCT DESCRIPTION The Q

More information

The impact of 3D storage solutions on the next generation of memory systems

The impact of 3D storage solutions on the next generation of memory systems The impact of 3D storage solutions on the next generation of memory systems DevelopEX 2017 Airport City Israel Avi Klein Engineering Fellow, Memory Technology Group Western Digital Corp October 31, 2017

More information

Quad-Serial Configuration (EPCQ) Devices Datasheet

Quad-Serial Configuration (EPCQ) Devices Datasheet Quad-Serial Configuration (EPCQ) Devices Datasheet Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1....4 1.1. Supported Devices...4 1.2. Features...5 1.3. Operating Conditions...5

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Emerging NV Storage and Memory Technologies --Development, Manufacturing and

Emerging NV Storage and Memory Technologies --Development, Manufacturing and Emerging NV Storage and Memory Technologies --Development, Manufacturing and Applications-- Tom Coughlin, Coughlin Associates Ed Grochowski, Computer Storage Consultant 2014 Coughlin Associates 1 Outline

More information

1 Gbit (128 Mbyte), 512 Mbit (64 Mbyte) GL-T MirrorBit Eclipse Flash

1 Gbit (128 Mbyte), 512 Mbit (64 Mbyte) GL-T MirrorBit Eclipse Flash 1 Gbit (128 Mbyte), 512 Mbit (64 Mbyte) GL-T MirrorBit Eclipse Flash General Description The Cypress S29GL01GT/512T are MirrorBit Eclipse flash products fabricated on 45 nm process technology. These devices

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 8, 377-391 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3946 Novel Cell Array Noise Cancelling Design Scheme for Stacked Type MRAM

More information

Markets for 3D-Xpoint Applications, Performance and Revenue

Markets for 3D-Xpoint Applications, Performance and Revenue Markets for 3D-Xpoint Applications, Performance and Revenue Mark Webb MKW Ventures Consulting, LLC Santa Clara, CA 1 Contents Persistent Memory Options What is 3D Xpoint The hype-reality challenge of xpoint

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions

Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions Fausto Piazza Silicon Technology Development envm Memories

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

Q SERIES. Proven Reliability NOW UL RECOGNIZED. ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 0.5 and 1.25 Watts PRODUCT OVERVIEW

Q SERIES. Proven Reliability NOW UL RECOGNIZED. ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 0.5 and 1.25 Watts PRODUCT OVERVIEW Proven Reliability Q SERIES ISOLATED, PROPORTIONAL DC TO HV DC CONVERTERS 100V to 10,000V @ 0.5 and 1.25 Watts PRODUCT OVERVIEW NOW UL RECOGNIZED PRODUCT DESCRIPTION The Q Series is a broad line of ultra-miniature,

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013 ABSTRACT Title of dissertation: TECHNOLOGY IMPLICATIONS FOR LARGE LAST-LEVEL CACHES Mu-Tien Chang Doctor of Philosophy, 3 Dissertation directed by: Professor Bruce Jacob Department of Electrical and Computer

More information

A Prototype Storage Subsystem based on PCM

A Prototype Storage Subsystem based on PCM PSS A Prototype Storage Subsystem based on IBM Research Zurich Ioannis Koltsidas, Roman Pletka, Peter Mueller, Thomas Weigold, Evangelos Eleftheriou University of Patras Maria Varsamou, Athina Ntalla,

More information

Migrating from MX30LF1G08AA to MX30LF1GE8AB

Migrating from MX30LF1G08AA to MX30LF1GE8AB Migrating from MX30LF1G08AA to MX30LF1GE8AB 1. Introduction This application note is a guide for migrating the Macronix MX30LF1G08AA to the MX30LF1GE8AB. The document does not provide detailed information

More information

3D Xpoint Status and Forecast 2017

3D Xpoint Status and Forecast 2017 3D Xpoint Status and Forecast 2017 Mark Webb MKW 1 Ventures Consulting, LLC Memory Technologies Latency Density Cost HVM ready DRAM ***** *** *** ***** NAND * ***** ***** ***** MRAM ***** * * *** 3DXP

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

Industrial mini SATA III Flash Module

Industrial mini SATA III Flash Module Industrial mini SATA III Module HERMES-HR Series Product Specification INDUSTRIAL Mini SATA III Module SUPPORTS DDRIII SDRAM CACHE Version 01V0 Document No. 100-xBMSR-JHTL June 2017 APRO CO., LTD. Phone:

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram

79C Megabit (512k x 8-bit) EEPROM MCM FEATURES DESCRIPTION: 79C0408. Logic Diagram 79C48 4 Megabit (512k x 8-bit) EEPROM MCM CE 1 CE 2 CE 3 CE 4 RES R/B WE OE 79C48 A -16 128K x 8 128K x 8 128K x 8 128K x 8 I/O -7 Logic Diagram FEATURES Four 128k x 8-bit EEPROMs MCM RAD-PAK radiation-hardened

More information

Quad-Serial Configuration (EPCQ) Devices Datasheet

Quad-Serial Configuration (EPCQ) Devices Datasheet Quad-Serial Configuration (EPCQ) Devices Datasheet Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...4 1.1 Supported Devices...4 1.2 Features...5 1.3 Operating Conditions...5

More information

HT CMOS 2K 8-Bit SRAM

HT CMOS 2K 8-Bit SRAM CMOS 2K 8-Bit SRAM Features Single 5V power supply Low power consumption Operating: 400mW (Typ.) Standby: 5µW (Typ.) 70ns (Max.) high speed access time Power down by pin CS TTL compatible interface levels

More information

100% PACKET CAPTURE. Intelligent FPGA-based Host CPU Offload NIC s & Scalable Platforms. Up to 200Gbps

100% PACKET CAPTURE. Intelligent FPGA-based Host CPU Offload NIC s & Scalable Platforms. Up to 200Gbps 100% PACKET CAPTURE Intelligent FPGA-based Host CPU Offload NIC s & Scalable Platforms Up to 200Gbps Dual Port 100 GigE ANIC-200KFlex (QSFP28) The ANIC-200KFlex FPGA-based PCIe adapter/nic features dual

More information

MITSUBISHI ELECTRIC 5.25 INCH FLEXIBLE DISK DRIVES

MITSUBISHI ELECTRIC 5.25 INCH FLEXIBLE DISK DRIVES MITSUBISHI ELECTRIC 5.25 INCH FLEXIBLE DISK DRIVES MF501B MF503B MF504B 0.5 MB 1.0 MB 1.6 MB SPECIFICATIONS and APPLICATION NOTES The Mitsubishi MF500B range of double sided 5¼ inch flexible disk drives

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Migrating from MX60LF8G28AB to MX60LF8G18AC

Migrating from MX60LF8G28AB to MX60LF8G18AC Migrating from MX60LF8G28AB to MX60LF8G18AC 1. Introduction This application note is a guide for migrating Macronix from the MX60LF8G28AB to the MX60LF8G18AC. Both NAND devices are 8Gb SLC NAND Flash built

More information

Design and Implementation of High Performance Application Specific Memory

Design and Implementation of High Performance Application Specific Memory Design and Implementation of High Performance Application Specific Memory - 고성능 Application Specific Memory 의설계와구현 - M.S. Thesis Sungdae Choi Dec. 20th, 2002 Outline Introduction Memory for Mobile 3D Graphics

More information

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor Taeho Kgil, Shaun D Souza, Ali Saidi, Nathan Binkert, Ronald Dreslinski, Steve Reinhardt, Krisztian Flautner,

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008 I/O and ESD Device Optimization for Nanometer Node CMOS Technologies IRCC IIT-Bombay industry impact award 2008 Team members Mayank Shrivastava (Ph.D. from IIT-Bombay, Graduated in 2010) Faculty Members

More information

Product Brief LPDDR2-PCM and Mobile LPDDR2 121-Ball MCP

Product Brief LPDDR2-PCM and Mobile LPDDR2 121-Ball MCP Features Product Brief LPDDR2-PCM and Mobile LPDDR2 121-Ball MCP MT66R7072A10AB5ZZW.ZCA, MT66R7072A10ACUXZW.ZCA MT66R5072A10ACUXZW.ZFA Features Micron LPDDR2-PCM and LPDDR2 components RoHS-compliant, green

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Shanghai Belling Corp., Ltd BL55077 zip: Tel: Fax:

Shanghai Belling Corp., Ltd BL55077 zip: Tel: Fax: LCD Driver for 160 Display Units BL55077 1 General Description The BL55077 is a general LCD driver IC for 160 units LCD panel. It features a wide operating supply voltage range, incorporates simple communication

More information

256MB / 512MB / 1GB / 2GB

256MB / 512MB / 1GB / 2GB Description: TEKQ TSD133XXX is a new 133X Super Fast Performance mass-storage system based on innovations in semiconductor technology which designed in advanced SD specification Ver.1.1. It s a special

More information

Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory

Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Pengfei Zuo, Yu Hua, Jie Wu Huazhong University of Science and Technology, China 3th USENIX Symposium on Operating Systems

More information

Comparing Toshiba TC58BVG1S3HTAI0 with Macronix MX30LF2GE8AB

Comparing Toshiba TC58BVG1S3HTAI0 with Macronix MX30LF2GE8AB 1. Introduction This application note is a guide for migrating to the Macronix MX30LF2GE8AB from the Toshiba TC58BVG1S3HTAI0 2Gb, 3V, Internal ECC NAND flash memory. The document does not provide detailed

More information

Dimensions. System Information. Memory. Stand mm (19.4 inches) mm (26.1 inches) Depth mm (1.4 inches) 78.2 mm (3.

Dimensions. System Information. Memory. Stand mm (19.4 inches) mm (26.1 inches) Depth mm (1.4 inches) 78.2 mm (3. 27 Specifications 2013 Dell Inc. Trademarks used in this text: Dell, the DELL logo, and XPS are trademarks of Dell Inc. Intel is a registered trademark and Core is a trademark of Intel Corporation in the

More information

Sophon SC1 White Paper

Sophon SC1 White Paper Sophon SC1 White Paper V10 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved Version Update Content Release Date V10-2017/10/25 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved

More information

Description INPUT INTERFACING

Description INPUT INTERFACING SEMICONDUCTOR ICM711, ICM71 December 1993 Features ICM711 (LCD) Description -Digit ICM711 (LCD) and ICM71 (LED) Display Drivers Four Digit Non-Multiplexed 7 Segment LCD Display Outputs With Backplane Driver

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered SO-DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2SOD8AG

More information

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2DUD8AG

More information

704-bit EEPROM SPECIFICATION 1 FEATURES 2 APPLICATION 3 OVERVIEW

704-bit EEPROM SPECIFICATION 1 FEATURES 2 APPLICATION 3 OVERVIEW SPECIFICATION 1 FEATURES SMIC EEPROM CMOS 0.18 um High density of memory cells Writing and erasing data by one high-voltage pulse Programming and erase time 2 ms Page writes allowed Data retention over

More information