Reconfigurable Architectures for Real World Applications

Size: px
Start display at page:

Download "Reconfigurable Architectures for Real World Applications"

Transcription

1 Reconfigurable Architectures for Real World Applications José Andrés Otero Marnotes Universidad Politécnica de Madrid

2 Reconfigurable Devices TWO CHOICES Custom Solutions Commercial FPGAs Specific Solutions Different Granularities Academic Environment Processing Capabilities Flexibility Closer to Industry GPP Trade-off between Flexibility and Performance Flexibility Reconfigurable systems ASIC performance 2

3 Researchers involved in the Reconfigurable Systems Area at CEI Professors Teresa Riesgo Eduardo de la Torre Félix Moreno Jorge Portilla PhD candidates Rubén Salvador Wei He Gui Xuan Liang José Andrés Otero Master level Eduardo Lezcano Juan Valverde PFC level Carlos Pizarro Javier Mora Miguel A. Lombardo Iván Flores Alberto Vidal 3

4 Current Projects: dr.simon Multimedia Applications Scalability by means of reconfigurability Dynamic and Partial Reconfiguration Multimedia Networks Scalable Video Coding Intelligence on Chip 4

5 dr.simon.hardware for Scalable Video Adaptation to different Devices SVC DECODER Adaptation to the communications network Variable Speed Decoder Adaptation to the device power consumption At run-time 5

6 Scalable Deblocking Filter for SVC Input FIFO Input FIFO Input FIFO FU11 FU42 FU13 FU21 FU32 FU23 FU31 FU22 FU33 FU41 FU12 FU43 Output FIFO Output FIFO Output FIFO 6

7 dr.simon.adaptive Architecture Evolvable Hardware 7

8 Current Projects: SMART SMART Secure, Mobile visual sensor networks ARchiTecture High Security, very low power consumption, and video processing in reconfigurable sensor networks for video applications 8

9 SMART. High Performance Reconfigurable Architecture for WSNs SPI/I2C Digital sensors SPI ADC Spartan-6 FPGA UART Embedded MICROBLAZE MPMC EMC_MCH I2C To PLB Bridge PLB To PLB Bridge uc WSNs with Multimedia capabilities FPGA Dynamically Reconfigurable Nodes 3 different wake-up modes Low Power Design with power islands PLB SPI/I2C Power Management MICROBLAZE HW_ICAP ICAP 9

10 SMART. Minimum Power Reconfiguration for WSNs FPGA core consumption Initial Configuration Static Mode Optimal Reconfiguration Strategy for Reducing Power Consumption time vs FPGA core consumption FPGA core consumption Initial Configuration Shutdown Mode Initial Configuration Double Step Mode time Fast Initial Partial Configuration Fast Initial Partial Configuration time 10

11 SMART. Low Level Reconfiguration Engine BUS Input/Output Registers PLB Bus Data_FIFO _sm Enhanced Icap_control Interface FPGA COMMANDS package Commands _Gen_sm Matrix_sm FPGA Architectural package Reconfiguration peripheral to be integrated within a SoC. Easily portable among different families of FPGAs Support ICAP overclocking (Reconfiguration at 250MHz for Virtex-5). World Record with reallocation Icap_statemachine Xps_HwIcap IPIC-IF Xilinx HwIcap Icap_virtex5/ Icap_Spartan-6 11

12 RECINTO Project. The interoperability problem Provided by manufacturer Not provided by manufacturer System level CAD tools Hardware design tools HDL Physical level CAD tools New needs to work at physical level. Know how to modify directly bit-stream to work with it. Approach to lowlevel design on FPGA s. Development of new CAD tools to improve the design-flow. Result Enhance design methodologies and reduce the cost of adapting working designs to new FPGA families 12

13 RUNNER Project. An industrial reality Today 3D vision kits for academic mobile robots Some products are making a 3D vision revolution Source: Surveyor Corp, 2009 But PC-based computing is not that embedded Real-time applications demand: > 100x performance < 100x energy consumption < 10x size RUNNER consortium To develop HW implementations of 3D vision algorithms Implement them on embedded FPGA systems Use them on a reconfigurable architecture in order to have same flexibility as in SW 13

14 fastcuda Project New Ideas/Projects Proposals Tool support Dynamic Partial Reconfiguration HDL DESCRIPTION FPGA FAST CUDA DESCRIPTION GPU 14

15 DREAMS Project New Projects Proposals Dynamically Reconfigurable Emdedded Platforms for Networked Context-Aware Multimedia Systems To combine many-core and reconfiguration Heterogeneity PLAN NACIONAL de I+D+i Increasing Complexity Design methods and tools, able to cope with complexity and heterogeneity. HW/SW platforms based on different strategies, with advanced features in terms of adaptability, connectivity, etc. Multimedia and secure system that can be adapted to the environment. 15

16 THANK YOU FOR YOUR ATTENTION Universidad Politécnica de Madrid

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity- based Measurement Application on Xilinx FPGAs Abstract The application of Field Programmable

More information

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs Co-Design and Co-Verification using a Synchronous Language Satnam Singh Xilinx Research Labs Virtex-II PRO Device Array Size Logic Gates PPCs GBIOs BRAMs 2VP2 16 x 22 38K 0 4 12 2VP4 40 x 22 81K 1 4

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip

SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto September 4, 2013

More information

RUN-TIME PARTIAL RECONFIGURATION SPEED INVESTIGATION AND ARCHITECTURAL DESIGN SPACE EXPLORATION

RUN-TIME PARTIAL RECONFIGURATION SPEED INVESTIGATION AND ARCHITECTURAL DESIGN SPACE EXPLORATION RUN-TIME PARTIAL RECONFIGURATION SPEED INVESTIGATION AND ARCHITECTURAL DESIGN SPACE EXPLORATION Ming Liu, Wolfgang Kuehn, Zhonghai Lu, Axel Jantsch II. Physics Institute Dept. of Electronic, Computer and

More information

Fast dynamic and partial reconfiguration Data Path

Fast dynamic and partial reconfiguration Data Path Fast dynamic and partial reconfiguration Data Path with low Michael Hübner 1, Diana Göhringer 2, Juanjo Noguera 3, Jürgen Becker 1 1 Karlsruhe Institute t of Technology (KIT), Germany 2 Fraunhofer IOSB,

More information

SimXMD: Simulation-based HW/SW Co-Debugging for FPGA Embedded Systems

SimXMD: Simulation-based HW/SW Co-Debugging for FPGA Embedded Systems FPGAworld 2014 SimXMD: Simulation-based HW/SW Co-Debugging for FPGA Embedded Systems Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto September 9,

More information

SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems

SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems University of Toronto FPGA Seminar SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto

More information

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB.

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB. Agenda The topics that will be addressed are: Scheduling tasks on Reconfigurable FPGA architectures Mauro Marinoni ReTiS Lab, TeCIP Institute Scuola superiore Sant Anna - Pisa Overview on basic characteristics

More information

Radio Propagation Simulation Based on Automatic 3D Environment Reconstruction

Radio Propagation Simulation Based on Automatic 3D Environment Reconstruction cei@upm.es Radio Propagation Simulation Based on Automatic D Environment Reconstruction Danping He Universidad Politécnica de Madrid Project Description This work is supported by European project: WSN

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor K.Rani Rudramma 1, B.Murali Krihna 2 1 Assosiate Professor,Dept of E.C.E, Lakireddy Bali Reddy Engineering College, Mylavaram

More information

FPGA-Based Wireless Sensor Node Architecture for High Performance Applications

FPGA-Based Wireless Sensor Node Architecture for High Performance Applications cei@upm.es CEI Session I FPG-Based Wireless Sensor ode rchitecture for High Performance pplications Cookie ode J.Valverde Universidad Politécnica de Madrid The merger of two research lines Introduction

More information

Article FPGA-Based High-Performance Embedded Systems for Adaptive Edge Computing in Cyber-Physical Systems: The ARTICo 3 Framework

Article FPGA-Based High-Performance Embedded Systems for Adaptive Edge Computing in Cyber-Physical Systems: The ARTICo 3 Framework sensors Article FPGA-Based High-Performance Embedded Systems for Adaptive Edge Computing in Cyber-Physical Systems: The ARTICo 3 Framework Alfonso Rodríguez 1, * ID, Juan Valverde 2, Jorge Portilla 1 ID,

More information

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management H. Tan and R. F. DeMara Department of Electrical and Computer Engineering University of Central Florida

More information

Connect Tech Inc. Александр Баковкин Инженер отдела сервисов SWD Software

Connect Tech Inc. Александр Баковкин Инженер отдела сервисов SWD Software Connect Tech Inc Александр Баковкин Инженер отдела сервисов SWD Software Hardware Building Blocks for your QNX Applications Celebrating 25 Years, 1985-2010 Located near Toronto, Canada CTI started as a

More information

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi Hardware Software Co-design and SoC Neeraj Goel IIT Delhi Introduction What is hardware software co-design Some part of application in hardware and some part in software Mpeg2 decoder example Prediction

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system 26th July 2005 Alberto Donato donato@elet.polimi.it Relatore: Prof. Fabrizio Ferrandi Correlatore:

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

Generic Systolic Array for Run-time Scalable Cores

Generic Systolic Array for Run-time Scalable Cores Generic Systolic Array for Run-time Scalable Cores Andrés Otero, Yana E.Krasteva, Eduardo de la Torre and Teresa Riesgo Centro de Electrónica Industrial. Universidad Politécnica de Madrid {andres.otero,

More information

Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems

Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems 2011 International Conference on Reconfigurable Computing and FPGAs Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems Ruben Salvador*, Andres Otero*, Javier Mora*,

More information

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions White Paper: Spartan-3 FPGAs WP212 (v1.0) March 18, 2004 DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions By: Steve Zack, Signal Processing Engineer Suhel Dhanani, Senior

More information

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE 1 Thirunavukkarasu.T, 2 Kirthika.N 1 PG Student: Department of ECE (PG), Sri Ramakrishna Engineering College, Coimbatore, India 2 Assistant Professor,

More information

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Politecnico di Milano & EPFL A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Vincenzo Rana, Ivan Beretta, Donatella Sciuto Donatella Sciuto sciuto@elet.polimi.it Introduction

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

The Growing Designer Productivity Gap

The Growing Designer Productivity Gap RAM Interface 1981 1985 1989 1993 1997 2001 2005 2009 2013 2017 2021 CprE 488 Embedded Systems Design Lecture 2 Embedded Platforms The Growing Designer Productivity Gap Embedded systems today are characterized

More information

CprE 488 Embedded Systems Design. Lecture 2 Embedded Platforms

CprE 488 Embedded Systems Design. Lecture 2 Embedded Platforms CprE 488 Embedded Systems Design Lecture 2 Embedded Platforms Joseph Zambreno Electrical and Computer Engineering Iowa State University www.ece.iastate.edu/~zambreno rcl.ece.iastate.edu Don t reinvent

More information

Power Management Techniques in an FPGA-Based WSN Node for High Performance Applications

Power Management Techniques in an FPGA-Based WSN Node for High Performance Applications Power Management Techniques in an FPGA-Based WSN Node for High Performance Applications M. Lombardo, J. Camarero, J. Valverde, J. Portilla, E. de la Torre, T. Riesgo Centre of Industrial Electronics Universidad

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Computer Systems Colloquium (EE380) Wednesday, 4:15-5:30PM 5:30PM in Gates B01

Computer Systems Colloquium (EE380) Wednesday, 4:15-5:30PM 5:30PM in Gates B01 Adapting Systems by Evolving Hardware Computer Systems Colloquium (EE380) Wednesday, 4:15-5:30PM 5:30PM in Gates B01 Jim Torresen Group Department of Informatics University of Oslo, Norway E-mail: jimtoer@ifi.uio.no

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

System Architecture Directions for Networked Sensors[1]

System Architecture Directions for Networked Sensors[1] System Architecture Directions for Networked Sensors[1] Secure Sensor Networks Seminar presentation Eric Anderson System Architecture Directions for Networked Sensors[1] p. 1 Outline Sensor Network Characteristics

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

FPGA system development What you need to think about. Frédéric Leens, CEO

FPGA system development What you need to think about. Frédéric Leens, CEO FPGA system development What you need to think about Frédéric Leens, CEO About Byte Paradigm 2005 : Founded by 3 ASIC-SoC-FPGA engineers as a Design Center for high-end FPGA and board design. 2007 : GP

More information

Core Facts. Documentation. Encrypted VHDL Fallerovo setaliste Zagreb, Croatia. Verification. Reference Designs &

Core Facts. Documentation. Encrypted VHDL Fallerovo setaliste Zagreb, Croatia. Verification. Reference Designs & logibmp Bitmap 2.5D Graphics Accelerator March 27, 2009 Product Specification Core Facts Xylon d.o.o. Documentation User Guide Design File Formats Encrypted VHDL Fallerovo setaliste 22 Constraints Files

More information

Design Issues in Hardware/Software Co-Design

Design Issues in Hardware/Software Co-Design Volume-2, Issue-1, January-February, 2014, pp. 01-05, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 ABSTRACT Design Issues in Hardware/Software Co-Design R. Ganesh Sr. Asst. Professor,

More information

Offline HW/SW Authentication for Reconfigurable Platforms. Eric Simpson, Patrick Schaumont

Offline HW/SW Authentication for Reconfigurable Platforms. Eric Simpson, Patrick Schaumont Offline HW/SW Authentication for Reconfigurable Platforms Eric Simpson, Patrick Schaumont Overview Security considerations for reconfigurable platforms Why today s security mechanisms are insufficient

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

Customizable FPGA Computing Platform and Reliability Improvement

Customizable FPGA Computing Platform and Reliability Improvement Customizable FPGA Computing Platform and Reliability Improvement Lingli Wang EDA Research Institute, School of Microelectronics State Key Lab of ASIC & System, Fudan University Email:llwang@fudan.edu.cn

More information

SPBTLE-1S ArTM. October STMicroelectronics

SPBTLE-1S ArTM. October STMicroelectronics SPBTLE-1S ArTM October 2017 STMicroelectronics BlueNRG Product Family for smart innovators 2 SPBTLE-1S Agenda 3 ST Bluetooth Low Energy modules ready to sell Reminder : module approach rational SPBTLE-1S

More information

Impulse Embedded Processing Video Lab

Impulse Embedded Processing Video Lab C language software Impulse Embedded Processing Video Lab Compile and optimize Generate FPGA hardware Generate hardware interfaces HDL files ISE Design Suite FPGA bitmap Workshop Agenda Step-By-Step Creation

More information

Execution Modeling in Self-Aware FPGA-Based Architectures for Efficient Resource Management

Execution Modeling in Self-Aware FPGA-Based Architectures for Efficient Resource Management Execution Modeling in Self-Aware FPGA-Based Architectures for Efficient Resource Management Alfonso Rodríguez, Juan Valverde, César Castañares, Jorge Portilla, Eduardo de la Torre and Teresa Riesgo Abstract

More information

Multi MicroBlaze System for Parallel Computing

Multi MicroBlaze System for Parallel Computing Multi MicroBlaze System for Parallel Computing P.HUERTA, J.CASTILLO, J.I.MÁRTINEZ, V.LÓPEZ HW/SW Codesign Group Universidad Rey Juan Carlos 28933 Móstoles, Madrid SPAIN Abstract: - Embedded systems need

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Embedded Systems: Projects

Embedded Systems: Projects November 2016 Embedded Systems: Projects Davide Zoni PhD email: davide.zoni@polimi.it webpage: home.dei.polimi.it/zoni Contacts & Places Prof. William Fornaciari (Professor in charge) email: william.fornaciari@polimi.it

More information

Survey of Codebreaking Machines. Swathi Guruduth Vivekanand Kamanuri Harshad Patil

Survey of Codebreaking Machines. Swathi Guruduth Vivekanand Kamanuri Harshad Patil Survey of Codebreaking Machines Swathi Guruduth Vivekanand Kamanuri Harshad Patil Contents Introduction Motivation Goal Machines considered Comparison based on technology used Brief description of machines

More information

ELEC 5260/6260/6266 Embedded Computing Systems

ELEC 5260/6260/6266 Embedded Computing Systems ELEC 5260/6260/6266 Embedded Computing Systems Spring 2019 Victor P. Nelson Text: Computers as Components, 4 th Edition Prof. Marilyn Wolf (Georgia Tech) Course Web Page: http://www.eng.auburn.edu/~nelsovp/courses/elec5260_6260/

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

Microprocessor Soft-Cores: An Evaluation of Design Methods and Concepts on FPGAs

Microprocessor Soft-Cores: An Evaluation of Design Methods and Concepts on FPGAs Microprocessor Soft-Cores: An Evaluation of Design Methods and Concepts on FPGAs Pieter Anemaet (1159100), Thijs van As (1143840) {P.A.M.Anemaet, T.vanAs}@student.tudelft.nl Computer Architecture (Special

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems

A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems Abstract Reconfigurable hardware can be used to build a multitasking system where tasks are assigned to HW resources at run-time

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

The Software Communications Architecture (SCA) and FPGAs Meeting the challenges of integrating FPGA application components using the SCA

The Software Communications Architecture (SCA) and FPGAs Meeting the challenges of integrating FPGA application components using the SCA 1 The Software Communications Architecture (SCA) and FPGAs Meeting the challenges of integrating FPGA application components using the SCA May 26 th 2011 Andrew Foster, Spectra Product Manager Copyright

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris

More information

Dynamically Reconfigurable Coprocessors in FPGA-based Embedded Systems

Dynamically Reconfigurable Coprocessors in FPGA-based Embedded Systems Dynamically Reconfigurable Coprocessors in PGA-based Embedded Systems Ph.D. Thesis March, 2006 Student: Ivan Gonzalez Director: ranciso J. Gomez Ivan.Gonzalez@uam.es 1 Agenda Motivation and Thesis Goal

More information

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Overview ß Embedded Design Challenges ß Xilinx Embedded Platforms for Embedded Processing ß Introducing Spartan-6 and Virtex-6 FPGA Embedded Kits

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

FPGA based embedded processor

FPGA based embedded processor MOTIVATION FPGA based embedded processor With rising gate densities of FPGA devices, many FPGA vendors now offer a processor that either exists in silicon as a hard IP or can be incorporated within the

More information

Research Challenges in Cloud Infrastructures to Provision Virtualized Resources

Research Challenges in Cloud Infrastructures to Provision Virtualized Resources Beyond Amazon: Using and Offering Services in a Cloud Future Internet Assembly Madrid 2008 December 9th, 2008 Research Challenges in Cloud Infrastructures to Provision Virtualized Resources Distributed

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

High-Level Synthesis with LabVIEW FPGA

High-Level Synthesis with LabVIEW FPGA High-Level Synthesis with LabVIEW FPGA National Instruments Agenda Introduction NI RIO technology LabVIEW FPGA & IP Builder RIO Hardware Platform Application 2 An Ideal Embedded Architecture Processor

More information

Co-synthesis and Accelerator based Embedded System Design

Co-synthesis and Accelerator based Embedded System Design Co-synthesis and Accelerator based Embedded System Design COE838: Embedded Computer System http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

DEVELOPMENT OF A RECONFIGURABLE MULTI-FACETED COMMUNICATIONS DEVICE USING PARTIAL DYNAMIC RECONFIGURATION

DEVELOPMENT OF A RECONFIGURABLE MULTI-FACETED COMMUNICATIONS DEVICE USING PARTIAL DYNAMIC RECONFIGURATION DEVELOPMENT OF A RECONFIGURABLE MULTI-FACETED COMMUNICATIONS DEVICE USING PARTIAL DYNAMIC RECONFIGURATION by Richard Dunkley A report submitted in partial fulfillment of the requirements for the degree

More information

Module 3: Adding Custom IP to an Embedded System

Module 3: Adding Custom IP to an Embedded System For Academic Use Only Systemy wbudowane laboratorium Uniwersytet Zielonogórski Wydział Elektrotechniki, Informatyki i Telekomunikacji Instytut Informatyki i Elektroniki Zakład InŜynierii Komputerowej Module

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 9 Device Interfaces and I/O October 19, 2017 Sam Siewert View to End of Semester Exam 1 78.6 Ave, 85 High Exam #1 Solutions - Go over in class Grades Posted on Canvas

More information

Evolutionary On-line Synthesis of Hardware Accelerators for Software Modules in Reconfigurable Embedded Systems

Evolutionary On-line Synthesis of Hardware Accelerators for Software Modules in Reconfigurable Embedded Systems Evolutionary On-line Synthesis of Hardware Accelerators for Software Modules in Reconfigurable Embedded Systems Roland Dobai Faculty of Information Technology Brno University of Technology Brno, Czech

More information

SUB-SYSTEM BOARD 5562 Campbell (MAXREFDES4#): 16-Bit High-Accuracy 4-20mA Input Isolated Analog Front End (AFE)

SUB-SYSTEM BOARD 5562 Campbell (MAXREFDES4#): 16-Bit High-Accuracy 4-20mA Input Isolated Analog Front End (AFE) Maxim > Design Support > Technical Documents > Sub-System Boards > APP 5562 Keywords: Campbell, MAXREFDES4, subsystem reference design, analog front end, AFE, industrial sensors, isolated power and data,

More information

FPGA-Based Wireless Sensor Node Architecture for High Performance Applications

FPGA-Based Wireless Sensor Node Architecture for High Performance Applications Universidad Politécnica de Madrid Escuela Técnica Superior de Ingenieros Industriales Departamento de Automática, Ingeniería Electrónica e Informática Industrial Master on Industrial Electronics FPGA-Based

More information

Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems

Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems By Alan Lowne, CEO, SaeligCo., Inc. and Frédéric Leens, sales and marketing manager,

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide

Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide Rev 0; 9/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Design of a Network Camera with an FPGA

Design of a Network Camera with an FPGA Design of a Network Camera with an FPGA Tiago Filipe Abreu Moura Guedes INESC-ID, Instituto Superior Técnico guedes210@netcabo.pt Abstract This paper describes the development and the implementation of

More information

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination 1 Student name: Date: June 26, 2008 General requirements for the exam: 1. This is CLOSED BOOK examination; 2. No questions allowed within the examination period; 3. If something is not clear in question

More information

Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide

Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide Rev 0; 1/13 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

A Flexible Reconfiguration Manager for the Erlangen Slot Machine

A Flexible Reconfiguration Manager for the Erlangen Slot Machine A Flexible Reconfiguration Manager for the Erlangen Slot Machine Mateusz Majer 1, Ali Ahmadinia 1, Christophe Bobda 2, and Jürgen Teich 1 1 University of Erlangen-Nuremberg Hardware-Software-Co-Design

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Building and Using the ATLAS Transactional Memory System

Building and Using the ATLAS Transactional Memory System Building and Using the ATLAS Transactional Memory System Njuguna Njoroge, Sewook Wee, Jared Casper, Justin Burdick, Yuriy Teslyar, Christos Kozyrakis, Kunle Olukotun Computer Systems Laboratory Stanford

More information

LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a)

LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a) LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a) DS836 March 1, 2011 Introduction The AXI Master Lite is an AXI4-compatible LogiCORE IP product. It provides an interface between a user-created IP

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information