Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Size: px
Start display at page:

Download "Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects"

Transcription

1 Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology

2 Manycore SOC roadmap fuels bandwidth demand 64-tile system ( cores) - 4-way SIMD GHz TFlops on one chip - Need 5-10 TB/s of off-chip I/O - Even higher on-chip bandwidth 2 cm 2 cm Intel 48 core -Xeon 2

3 DRAM DIMM DRAM DIMM DRAM DIMM System Bottlenecks Manycore system cores CPU CPU CPU Cache/ MC Cache/ MC Interconnect Network Cache/ MC Bottlenecks due to energy and bandwidth density limitations Interconnect Network 3

4 Energy-cost [pj/b] Wire and I/O scaling On-chip wires On-chip wires copper resistivity Best electrical links I/O Loss ~20-25dB Chip2Chip Backplane Loss ~10dB Data-rate [Gb/s] Increased wire resistivity makes wire caps scale very slowly Can t get both energy-efficiency and high-data rate in I/O 4

5 Package pin count Bandwidth, pin count and power scaling 256 cores *> half pins for power supply Need 16k pins in 2017 for HPC* 2 TFlop/s signal 20 Gb/s/link 2,4 cores 1 Byte/Flop 5

6 Monolithic CMOS-Photonics in Computer Systems Supercomputers Si-photonics in advanced CMOS and DRAM process NO costly process changes Embedded apps 6

7 Many architectural studies show promise [Shacham 07] [Petracca 08] [Joshi 09] [Pan 09] [Vantrease 08] [Psota 07] [Kirman 06] [Koka 08-10] [Batten 08] 7 [Kurian 10]

8 Optimization requires full system insight DSENT Electrical and optical link and network models Cache & Core Energy & Area Developed cross-layer modeling framework 8 Kurian, Chen 2011

9 Register Mux Register Mux Demux Register Demux Register Start at the link level: Jointly optimize circuits and photonic devices PLL or Opt. Clk Pre-Driver in PLL or Opt. Clk in Mod-Driver Pre-Driver Mod-Driver Phase Adjust Receiver Front-end PLL or Phase Opt. Clk Adjust PLL or Opt. Clk Samplers & Receiver Samplers & Monitoring Front-end Monitoring + + Dense WDM 128 wavelengths/waveguide - >1Tb/s per waveguide Need 1000 s of transceivers on die with < 100fJ/bit cost at > 10Gb/s! - Optimized modulator circuits/devices - Optimized receiver circuits/photo-detector - Optimized thermal tuning 9

10 Need to optimize carefully Register Mux Register Mux Demux Register Demux Register 512 Gb/s aggregate throughput PLL or Opt. Clk in PLL or Opt. Clk Pre-Driver Mod-Driver Pre-Driver Mod-Driver in Phase Adjust PLL or Phase Opt. Clk Adjust PLL or Opt. Clk Receiver Samplers & Receiver Samplers & Front-end Monitoring Front-end Monitoring + + Laser energy increases with data-rate Limited Rx sensitivity assuming 32nm CMOS Modulation more expensive -> extinction ratio / insertion loss trade-off Tuning costs decrease with data-rate Moderate data rates most energy-efficient 10 Georgas CICC 2011

11 DWDM link efficiency optimization >10x Electrical bump-pitch limited to <1Tb/s/mm 2 Package pin limit 0.05 Tb/s/mm 2 Optimize for min energy-cost Bandwidth density dominated by circuit and photonics area (not coupler pitch) 10x better than electrical bump limited 200x better than electrical package pin limit 11

12 Mem Scheduler Photonic DRAM Network Organization Laser in CPU Super DIMM DRAM cube 1 Important Concepts MC 1 DRAM cube 4 - Power/message switching (only to active DRAM chip in DRAM cube/super DIMM) MC K Dwr Drd cmd Drd Dwr ( cube 1, die 8) - Vertical die-to-die coupling (minimizes cabling - 8 dies per DRAM cube) die-die switch cmd Dwr Drd ( cube 1, die 1) -Command distributed electrically (broadcast) - Data photonic (single writer multiple readers) Super DIMM K DRAM cube 4 MC 16 Processor die Modulator bank Receiver/PD bank Tunable filterbank Through silicon via Through silicon via hole 12 Beamer ISCA 2010

13 Optimizing DRAM with photonics P1 P4 Floorplan Beamer ISCA

14 Laser Power Guiding Effectiveness Enables capacity scaling per channel and significant savings in laser energy 14 Beamer ISCA 2010

15 ATAC On-Chip network Example 1000 core die 64 clusters connected via optical broadcast 15

16 Average Energy over Splash2 benchmarks Ring tuning very expensive Non-gated laser very expensive 16

17 Including the cores gives the full picture Energy dominated by cores/caches Faster network saves overall energy (leakage and clock) Need aggressive clock-gating and supply/retention scaling

18 Execution time also matters 18

19 Feedback to device designers Waveguide losses up to 2dB/cm o.k. 19

20 Conclusions Biggest gains if photonics both on-chip and off-chip Core-to-MC network MC-to-DRAM bank network immediate 10x gains Need comprehensive modeling framework to see the full picture Link-level tight interaction of circuits and photonics through good models System-level Include all system components cores, network, caches, memory

21 Acknowledgments Krste Asanović, Rajeev Ram, Miloš Popović, Christopher Batten, Ajay Joshi Anant Agarwal, Li-Shiuan Peh, Lionel Kimerling, Jurgen Michel, Dimitri Antoniadis Jason Miller, Jeff Shainline Jason Orcutt, Chen Sun, Ben Moss, Jonathan Leu, Michael Georgas, Stevan Urosević, Owen Chen, George Kurian, Yong-Jin Kwon, Scott Beamer Dr. Jag Shah and Dr. Charles Holland, DARPA FCRP IFC, NSF Trusted Foundry, Intel Corporation, APIC

CMOS Photonic Processor-Memory Networks

CMOS Photonic Processor-Memory Networks CMOS Photonic Processor-Memory Networks Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Acknowledgments Krste Asanović, Rajeev Ram, Franz Kaertner, Judy Hoyt, Henry Smith,

More information

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun In collaboration with: Chia-Hsin Owen Chen George Kurian Lan Wei Jason Miller Jurgen Michel

More information

Re-architecting DRAM with Monolithically Integrated Silicon Photonics

Re-architecting DRAM with Monolithically Integrated Silicon Photonics Re-architecting DRAM with Monolithically Integrated Silicon Photonics Scott Beamer Chen Sun Yong-jin Kwon Ajay Joshi Christopher Batten Vladimir Stojanovic Krste Asanovi Electrical Engineering and Computer

More information

ATAC: Improving Performance and Programmability with On-Chip Optical Networks

ATAC: Improving Performance and Programmability with On-Chip Optical Networks ATAC: Improving Performance and Programmability with On-Chip Optical Networks James Psota, Jason Miller, George Kurian, Nathan Beckmann, Jonathan Eastep, Henry Hoffman, Jifeng Liu, Mark Beals, Jurgen Michel,

More information

Re-Architecting DRAM Memory Systems with Monolithically Integrated Silicon Photonics

Re-Architecting DRAM Memory Systems with Monolithically Integrated Silicon Photonics Re-Architecting DRAM Memory Systems with Monolithically Integrated Silicon Photonics Scott Beamer, Chen Sun, Yong-Jin Kwon Ajay Joshi, Christopher Batten, Vladimir Stojanović, Krste Asanović Dept. of EECS

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Appears in the Proceedings of the 3rd International Symposium on Networks-on-Chip (NOCS-3), May 9 Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi *, Christopher Batten *, Yong-Jin

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi *, Christopher Batten *, Yong-Jin Kwon, Scott Beamer, Imran Shamim * Krste Asanović, Vladimir Stojanović * * Department of EECS,

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing

Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing Matthew Kennedy and Avinash Karanth Kodi School of Electrical Engineering and Computer Science Ohio University,

More information

Exploiting Dark Silicon in Server Design. Nikos Hardavellas Northwestern University, EECS

Exploiting Dark Silicon in Server Design. Nikos Hardavellas Northwestern University, EECS Exploiting Dark Silicon in Server Design Nikos Hardavellas Northwestern University, EECS Moore s Law Is Alive And Well 90nm 90nm transistor (Intel, 2005) Swine Flu A/H1N1 (CDC) 65nm 45nm 32nm 22nm 16nm

More information

Designing Multi-socket Systems Using Silicon Photonics

Designing Multi-socket Systems Using Silicon Photonics Designing Multi-socket Systems Using Silicon Photonics Scott Beamer Krste Asanovic Chris Batten Ajay Joshi Vladimir Stojanovic Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

The MIT Communications Technology Roadmap Program IPI TWG Report

The MIT Communications Technology Roadmap Program IPI TWG Report The MIT Communications Technology Roadmap Program IPI TWG Report May 19, 2006 Louay Eldada Integration, Packaging & Interconnection Technology Working Group CTO, VP Engineering DuPont Photonics Chair,

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology

Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology Yong-jin Kwon Department of EECS, University of California, Berkeley, CA Abstract To improve performance

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Proposal for Thesis Research in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy

More information

Reconfigurable Silicon Photonic Interconnect for Many- Core Architecture

Reconfigurable Silicon Photonic Interconnect for Many- Core Architecture Reconfigurable Silicon Photonic Interconnect for Many- Core Architecture Hang Guan 1*, Sébastien Rumley 1, Ke Wen 1, David Donofrio 2, John Shalf 2, and Keren Bergman 1 1 Department of Electrical Engineering,

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

Phone: (510) Homepage: https://scottbeamer.net

Phone: (510) Homepage: https://scottbeamer.net Scott Beamer Lawrence Berkeley National Laboratory One Cyclotron Road Berkeley, CA 94720 Phone: (510) 495-2709 Email: sbeamer@lbl.gov Homepage: https://scottbeamer.net Interests Computer architecture,

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 14: Photonic Interconnect Instructor: Ron Dreslinski Winter 2016 1 1 Announcements 2 Remaining lecture schedule 3/15: Photonics

More information

Moving Forward with the IPI Photonics Roadmap

Moving Forward with the IPI Photonics Roadmap Moving Forward with the IPI Photonics Roadmap TWG Chairs: Rich Grzybowski, Corning (acting) Rick Clayton, Clayton Associates Integration, Packaging & Interconnection: How does the chip get to the outside

More information

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Department of Computer Science Columbia University in the City of New York NSF Workshop on Emerging Technologies

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Sergi Abadal*, Albert Cabellos-Aparicio*, José A. Lázaro, Eduard Alarcón*, Josep Solé-Pareta*

More information

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications Xiang Zhang and Ahmed Louri Department of Electrical and Computer Engineering, The University of Arizona 1230 E Speedway

More information

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation * Other names, logos and brands may be claimed as the property of others. Copyright 2009, Intel

More information

Designing Multisocket Systems with Silicon Photonics. by Scott Beamer. Research Project

Designing Multisocket Systems with Silicon Photonics. by Scott Beamer. Research Project Designing Multisocket Systems with Silicon Photonics by Scott Beamer Research Project Submitted to the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

Photonics in computing: use more than a link for getting more than Moore

Photonics in computing: use more than a link for getting more than Moore Photonics in computing: use more than a link for getting more than Moore Nikos Pleros Photonics Systems and Networks (PhosNET) research group Dept. of Informatics, Aristotle Univ. of Thessaloniki, Center

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Aim High Intel Technical Update Teratec 07 Symposium June 20, 2007 Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Risk Factors Today s s presentations contain forward-looking statements.

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

BlueGene/L. Computer Science, University of Warwick. Source: IBM

BlueGene/L. Computer Science, University of Warwick. Source: IBM BlueGene/L Source: IBM 1 BlueGene/L networking BlueGene system employs various network types. Central is the torus interconnection network: 3D torus with wrap-around. Each node connects to six neighbours

More information

OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures

OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures Md Ashif I Sikder, Avinash K Kodi, Matthew Kennedy and Savas Kaya School of Electrical Engineering and Computer Science Ohio University

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

The Impact of Optics on HPC System Interconnects

The Impact of Optics on HPC System Interconnects The Impact of Optics on HPC System Interconnects Mike Parker and Steve Scott Hot Interconnects 2009 Manhattan, NYC Will cost-effective optics fundamentally change the landscape of networking? Yes. Changes

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB NO. 0704-0188 Public Reporting burden for Ihis collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

The Road from Peta to ExaFlop

The Road from Peta to ExaFlop The Road from Peta to ExaFlop Andreas Bechtolsheim June 23, 2009 HPC Driving the Computer Business Server Unit Mix (IDC 2008) Enterprise HPC Web 100 75 50 25 0 2003 2008 2013 HPC grew from 13% of units

More information

ECE 574 Cluster Computing Lecture 23

ECE 574 Cluster Computing Lecture 23 ECE 574 Cluster Computing Lecture 23 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 1 December 2015 Announcements Project presentations next week There is a final. time. Maybe

More information

Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture

Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture Matthew Kennedy and Avinash Kodi Department of Electrical Engineering and Computer Science Ohio University, Athens, OH 45701

More information

PIC training: Interconnect System Design

PIC training: Interconnect System Design PIC training: Interconnect System Design Keren Bergman PhoenixSim Optical hardware Meisam Bahadori, Sébastien Rumley Lightwave Research Laboratory Columbia University Network Application Silicon Photonics

More information

Performance Evaluation of a Multicore System with Optically Connected Memory Modules

Performance Evaluation of a Multicore System with Optically Connected Memory Modules 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip Performance Evaluation of a Multicore System with Optically Connected Memory Modules Paul Vincent Mejia, Rajeevan Amirtharajah, Matthew

More information

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1 Future of Interconnect Fabric A ontrarian View Shekhar Borkar June 13, 2010 Intel orp. 1 Outline Evolution of interconnect fabric On die network challenges Some simple contrarian proposals Evaluation and

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Stacking Untested Wafers to Improve Yield. The 3D Enigma Stacking Untested Wafers to Improve Yield or 3D: Where the Timid Go to Die The 3D Enigma The Promise High Performance Low Power Improved Density More than Moore or at least as much as Moore The Reality

More information

Research Statement Scott Beamer

Research Statement Scott Beamer Research Statement Scott Beamer Despite the end of Moore s Law on the horizon, there is no end in sight to the rapid growth in the volume of data and applications to make use of it. To use that data, it

More information

HPC Technology Trends

HPC Technology Trends HPC Technology Trends High Performance Embedded Computing Conference September 18, 2007 David S Scott, Ph.D. Petascale Product Line Architect Digital Enterprise Group Risk Factors Today s s presentations

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Near-Field Coupling Integration Technology

Near-Field Coupling Integration Technology Near-Field Coupling Integration Technology 128-Die Stacking ISSCC2010, pp.440-441 Tadahiro Kuroda Keio University, Japan IEEE Fellow August 31, 2016 1of 40 Challenge to Tyranny of Numbers From System on

More information

Electrical Engineering and Computer Science Department

Electrical Engineering and Computer Science Department Electrical Engineering and Computer Science Department Technical Report Number: NU-EECS-4-3 April, 24 LaC: Integrating Laser Control in a Photonic Interconnect Yigit Demir, Nikos Hardavellas Abstract The

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

Packaging avancé pour les modules photoniques

Packaging avancé pour les modules photoniques I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Packaging avancé pour les modules photoniques S. Bernabé, CEA-Leti Marc Epitaux, SAMTEC Workshop «Photonique sur Silicium, une rupture attendue»

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 An Inter/Intra-Chip Optical Network for Manycore Processors Xiaowen Wu, Student Member, IEEE, JiangXu,Member, IEEE, Yaoyao Ye, Student

More information

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models Lecture: Memory, Multiprocessors Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models 1 Refresh Every DRAM cell must be refreshed within a 64 ms window A row

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Energy-Proportional Photonic Interconnects

Energy-Proportional Photonic Interconnects Energy-Proportional Photonic Interconnects YIGIT DEMIR, Intel NIKOS HARDAVELLAS, Northwestern University Photonic interconnects have emerged as the prime candidate technology for efficient networks on

More information

A 2-Layer Laser Multiplexed Photonic Network-on-Chip

A 2-Layer Laser Multiplexed Photonic Network-on-Chip A 2-Layer Laser Multiplexed Photonic Network-on-Chip Dharanidhar Dang Email: d.dharanidhar@tamu.edu Biplab Patra Email: biplab7777@tamu.edu Rabi Mahapatra Email: rabi@tamu.edu Abstract In Recent times

More information

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS SRISHTI PHOTONICS RESEARCH GROUP INDIAN INSTITUTE OF TECHNOLOGY, DELHI 1 IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS Authors: Janib ul Bashir and Smruti R. Sarangi Indian Institute

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Copyright 2012, Elsevier Inc. All rights reserved.

Copyright 2012, Elsevier Inc. All rights reserved. Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

Computer Architecture. R. Poss

Computer Architecture. R. Poss Computer Architecture R. Poss 1 ca01-10 september 2015 Course & organization 2 ca01-10 september 2015 Aims of this course The aims of this course are: to highlight current trends to introduce the notion

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Chao Chen, Student Member, IEEE, and Ajay Joshi, Member, IEEE (Invited Paper) Abstract Silicon-photonic links have been proposed

More information

Fundamentals of Quantitative Design and Analysis

Fundamentals of Quantitative Design and Analysis Fundamentals of Quantitative Design and Analysis Dr. Jiang Li Adapted from the slides provided by the authors Computer Technology Performance improvements: Improvements in semiconductor technology Feature

More information

Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems.

Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems. Cluster Networks Introduction Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems. As usual, the driver is performance

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

Designing Chip-Level Nanophotonic Interconnection Networks

Designing Chip-Level Nanophotonic Interconnection Networks IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 137 Designing Chip-Level Nanophotonic Interconnection Networks Christopher Batten, Member, IEEE, Ajay Joshi,

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

VCSEL-based solderable optical modules

VCSEL-based solderable optical modules 4th Symposium on Optical Interconnect for Data Centres VCSEL-based solderable optical modules Hideyuki Nasu FITEL Products Division Furukawa Electric Co., Ltd. H. Nasu/ FITEL Products Division, Furukawa

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler OVERCOMING THE MEMORY WALL FINAL REPORT By Jennifer Inouye Paul Molloy Matt Wisler ECE/CS 570 OREGON STATE UNIVERSITY Winter 2012 Contents 1. Introduction... 3 2. Background... 5 3. 3D Stacked Memory...

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

3D WiNoC Architectures

3D WiNoC Architectures Interconnect Enhances Architecture: Evolution of Wireless NoC from Planar to 3D 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan Sep 18th, 2014 Hiroki Matsutani, "3D WiNoC Architectures",

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Is it still in hype or on its way to the field? OFC 2015 Workshop 22 March 2015 Chris Cole Mainstream Datacenter

More information

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess Index A Active buffer window (ABW), 34 35, 37, 39, 40 Adaptive data compression, 151 172 Adaptive routing, 26, 100, 114, 116 119, 121 123, 126 128, 135 137, 139, 144, 146, 158 Adaptive voltage scaling,

More information

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1. EE 330 Homework 1 Fall 2016 Due Friday Aug 26 Problem 1 Assume a simple circuit requires 1,000 MOS transistors on a die and that all transistors are minimum sized. If the transistors are fabricated in

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information