Packaging avancé pour les modules photoniques

Size: px
Start display at page:

Download "Packaging avancé pour les modules photoniques"

Transcription

1 I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Packaging avancé pour les modules photoniques S. Bernabé, CEA-Leti Marc Epitaux, SAMTEC Workshop «Photonique sur Silicium, une rupture attendue»

2 Outline Silicon Photonics for SR interconnects Module Integration Mid-Board Optical Modules DragonFly Packaging Platform Laser Integration Optical Packaging Module Integration Outlook Self alignment On-board modules 28/11/2017 2

3 Interconnect Challenges Data Centers are evolving Increasing DataRate per switch Increasing overall distances Increasing total power Interconnect Challenges Raw speed Distance Size Cooling and thermals Various environmental constraints Source: Intel /11/2017 3

4 Silicon Photonics : building blocks Laser source Photodetector up to 40Gb/s Vertical Fiber Couplers Optical modulator up to 40Gb/s SiO2 Si SiO2 Waveguides WDM filters B. Szelag et al., SPIE Proceedings, Photonics Europe, April 2016 T. Ferrotti et al., SPIE Proceedings, February 2016 C. Sciancalepore et al., Opt. Express, October 2015 L. Virot et al., Nature Communications, September 2014 F. Boeuf et al. JLT, vol. 34, no. 2, 2016 F. Bœuf et al. IEDM, /11/2017 4

5 Complexity Silicon photonics : pushing the boundaries Main driver : Performance Integrated Photonics Metrics : Gbps/cm², mw/gbps, $/Gbps Main driver : Power Consumption Microoptics Main driver : Cost & Form Factor Manufacturing volume J.M. Verdiell, Advances in Onboard Optical Interconnects: A new generation of miniature Optical Engines, DesignCon, /11/2017 5

6 From chip to module Photonic Integrated Circuit Board Level Optical Engine Module Level Electronic IC Si Photonic IC RF access Source : Luxtera System level 28/11/2017 6

7 Silicon Photonics modules Low power 25Gbps photoreceiver 50µm pitch microbump 170 fj/bit -15 dbm sensitivity TIA design : Caltech Saaedi et al.. J. Lightwave Tech., 2015 EIC 0.8 x 1.3 mm² Reflective Tx for FTTH (EU FABULOUS project) QAM16 transmission on a single fiber Dedicated MZM segmented CMOS driver Straullu et al., ECOC PDP, 2016 Menezo et al., JLT, 34,10, Gbps receiver module WDM and SDM versions < -12dBm sensitivity at 10-9 BER EIC with 4pJ/bit consumption TIA design : ST microelectronics Bernabé et al., OIC 2016, Paper MB3 Castany et al., ESTC /11/2017 7

8 Mid Board Optical Modules Roadmap IO project (Leti+IMEC, 2006) MTP/MPO 4.6 mm between holes Bring optics on board, closer to the chipset (opposed to the front-pluggable approach) Shorter RF path between chipset and optical module Optical flies over to backplane or front panel Highest density Novel cooling options Qualified to Telcordia, sometimes MIL standards On-going standardization (COBO) Source : Bert Offrein, IBM (2011) Form factor comparison between MBOM & Front Pluggables Source : Cisco 28/11/2017 8

9 DragonFLY Packaging Platform Architectural Features MBOM packaging Semiconductor manufacturing SiPho Engine (BGA ed) Single mode optics Flip-chipped PMDs Backside illumination 4x 56G bandwidth M. Epitaux, Semicon Europe, 2017 Development carried out in the IRT Nanoelec program 28/11/2017 9

10 Challenges for Mid Board Module Integration Circuit integration Platform and CAD tool homogenization Limited generic component offering Test infrastructure Light coupling Laser integration Single mode fiber coupling and alignment Packaging integration High speed signaling and interconnect Thermal management 28/11/

11 Laser Integration Micropackage Flip-chip Direct bonding III-V die or wafer Silicon wafer De Dobbelaere,OFC 2014 Shimizu et al., Photon. Res., 2,3, 2014 Ferrotti et al., SSDM 2016 B. Szelag et al., IEDM /11/

12 Optical Packaging Optical Features Single mode fiber Free space optical layout Grating Coupler 1D output (3dB IL) 2D input (6dB IL) Mode converter Alignment Strategy Passive (vison controlled) Active S. Bernabé et al., ICSJ /11/

13 Module Integration Thermal Design RF Design Power Density Multi-Gbps interconnect requires signal processing and electronic compensation (CDR, CTLE, FFE, DFE, PAM4, ) Smaller, integrated device increases drastically the power density (1.7W/cm 2 at the MBOM level) Heatsink Alternatives Convection cooling (not sufficient) Conduction cooling Immersion Features Organic substrate 0.5mm edge connector Autodesk CFD 360 Simulation BGA interconnect SiPho chip (200um) Modeling & Simulation Modeled the system in HFSS IL: db Insertion 28 GHz RL: < -18 db up to 28 GHz Nyquist. 28/11/

14 High Throughput Assembly Strategies Self alignment: Use of micropillar structures Based on capillary forces Cu Cu/Ni/(Au) D. Zonou et al., ESTC /11/

15 Self Alignment Capability <0,5 µm misalignment For 25µm copper pillar diameter self-alignment occurs while initial offset Δr < 7µm Compatible with High throughput bonding machine Δr : 5µm (ex : Datacon 8800, UPH > 7000) D. Zonou et al., ECTC /11/

16 Mid Board Optical Modules Architectures Standalone System-in-package TL ~ 20 mm TL ~ 50 mm S. Bernabé et al., IEEE Trans. Components, Pkg and Mfg Tech., /11/

17 TSV based architectures Abs(S12) TSV WB P1 WB TSV L. Fourneaud, Internal report, CEA Leti 28/11/

18 Conclusion Silicon Photonics integrated circuits enables new ultra dense modules for datacenters and HPC The combination of Mid-Board Optics packaging platform and Silicon Photonics is offering new high performance horizon for optical interconnect: Bring the fibers closer to the signal processor (ASIC, FPGA, switch) Increase the interconnect density Offer a path to 100Gbps and much beyond Extend optical link reach However the promises of photonics integration is still facing challenges: Circuit integration Light coupling Packaging integration Most of those challenges are addressed within IRT Nanoelec MBOM module leveraging the DragonFly platform Further development making use of Advanced Packaging building blocks (microbumps, TSVs) 28/11/

19 Merci pour votre attention

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Is it still in hype or on its way to the field? OFC 2015 Workshop 22 March 2015 Chris Cole Mainstream Datacenter

More information

Beyond 100 Gb/s High-speed Optical (and Copper) Interconnects

Beyond 100 Gb/s High-speed Optical (and Copper) Interconnects Beyond 100 Gb/s High-speed Optical (and Copper) Interconnects Marc Verdiell, CTO, Samtec Optical Group TWEPP-17 - Topical Workshop on Electronics for Particle Physics, Santa Cruz, CA, Sept. 11-14 th 2017

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Optical Engine? What s That?

Optical Engine? What s That? JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER Advances in Onboard Optical Interconnects: A New Generation of Miniature Optical Engines Marc Verdiell CTO Samtec Optical Group Samtec, Inc. 01/29/2013

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Moving Forward with the IPI Photonics Roadmap

Moving Forward with the IPI Photonics Roadmap Moving Forward with the IPI Photonics Roadmap TWG Chairs: Rich Grzybowski, Corning (acting) Rick Clayton, Clayton Associates Integration, Packaging & Interconnection: How does the chip get to the outside

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 September 19, 2017 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Outline Key technologies for future CMOS imagers Bottlenecks for high speed imaging Our proposal Take home message Oct 12, 2017 Photon-to-Photon

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Dr. Henning Schröder, Fraunhofer IZM Dr. Henning Schröder Fraunhofer IZM, Berlin fon: ++49 30 46403-277, fax:

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

Interconnect for 100G serial I/O ports

Interconnect for 100G serial I/O ports Interconnect for 100G serial I/O ports Tom Palkert, Greg Walz 1 2016 Molex All Rights Reserved. Unauthorized Reproduction/Distribution is Prohibited. Revised: May, 2017 Today @ 25Gbps lanes I/O Channel

More information

VCSEL-based solderable optical modules

VCSEL-based solderable optical modules 4th Symposium on Optical Interconnect for Data Centres VCSEL-based solderable optical modules Hideyuki Nasu FITEL Products Division Furukawa Electric Co., Ltd. H. Nasu/ FITEL Products Division, Furukawa

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

PSM4 Technology & Relative Cost Analysis Update

PSM4 Technology & Relative Cost Analysis Update PSM4 Technology & Relative Cost Analysis Update Jon Anderson, Oclaro Kiyo Hiramoto, Oclaro IEEE P802.3bm TF, Phoenix, AZ, January 21-24, 2013 1 Supporters Chris Bergey, Luxtera Tom Palkert, Luxtera John

More information

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena SOI at the heart of the silicon photonics design Arnaud Rigny, Business Development Manager Semicon Europa, TechArena Outline 1 Market demand for optical interconnect 2 Silicon on Insulator for optical

More information

Optical PCB Overview. Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY. IBM Research

Optical PCB Overview. Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY. IBM Research IBM Research Optical PCB Overview Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY IBM Internal November 16, 2011 Outline System view of why optics is needed Potential OPCB Technologies

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Kotura Analysis: WDM PICs improve cost over LR4

Kotura Analysis: WDM PICs improve cost over LR4 Kotura Analysis: WDM PICs improve cost over LR4 IEEE P802.3bm - 40 Gb/s & 100 Gb/s Fiber Optic Task Force Sept 2012 Contributors: Mehdi Asghari, Kotura Samir Desai, Kotura Arlon Martin, Kotura Recall the

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Co-Organizer/Presider/Session Chair: Dr. Ioannis Tomkos Networks and Optical Communications

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Active Optical Cables Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Supplier of Mixed Signal Products Supplier of Mixed Signal Communication Semiconductors, public $230M Medical Communications

More information

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System 3D Hetero-Integration Technology for Future Automotive Smart Vehicle System Kangwook Lee, Ph.D Professor, NICHe, Tohoku University Deputy Director, Global INTegration Initiative (GINTI) Kangwook Lee, Tohoku

More information

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY Consideration for Advancing Technology in Computer System Packaging Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY IEEE Distinguished Lecture Series 2014 Motivation Modern Computing is driven by

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

100G and Beyond: high-density Ethernet interconnects

100G and Beyond: high-density Ethernet interconnects 100G and Beyond: high-density Ethernet interconnects Kapil Shrikhande Sr. Principal Engineer, CTO Office Force10 Networks MIT MicroPhotonics Center Spring Meeting April 5, 2011 [ 1 ] Ethernet applications

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber 1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber, Berlin, Germany J.-R. Kropp, N. Ledentsov, J. Lott, H. Quast Outline 1. Feasibility of components for 4x25G and 1x40G solutions for

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

Silicon Photonics and the Future of Optical Connectivity in the Data Center

Silicon Photonics and the Future of Optical Connectivity in the Data Center Silicon Photonics and the Future of Optical Connectivity in the Data Center ECOC MARKET FOCUS September 19, 2016 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center Traffic

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Manycore SOC roadmap fuels bandwidth demand

More information

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing 400G The Wave of the Future Michael G. Furlong - Senior Director, Product Marketing mfurlong@inphi.com ECOC 2017 100G is Ramping in the Cloud 100G Now Shipping (~2H2016) Numerous Market Reports Millions

More information

Intel Silicon Photonics: from Research to Product

Intel Silicon Photonics: from Research to Product IEEE Components, Packaging and Manufacturing Technology Chapter, Santa Clara Valley 3/8/2017 Intel Silicon Photonics: from Research to Product MARCH 8, 2017 Ling Liao Principal Engineer Silicon Photonics

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

OIF CEI-56G Project Activity

OIF CEI-56G Project Activity OIF CEI-56G Project Activity Progress and Challenges for Next Generation 400G Electrical Links David R Stauffer Kandou Bus, SA OIF Physical & Link Layer Working Group Chair June 12, 2014 Electrical Implementation

More information

Using On-Board Optics for Networking Technology Innovation

Using On-Board Optics for Networking Technology Innovation Using On-Board Optics for Networking Technology Innovation OVERVIEW OF THE ON-BOARD OPTICAL MODULE RELEASE 1.0 SPECIFICATION The Consortium for Onboard Optics March 2018 TABLE OF CONTENTS Introduction

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Quilt Packaging Microchip Interconnect Technology

Quilt Packaging Microchip Interconnect Technology Quilt Packaging Microchip Interconnect Technology 18 November 2012 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction to IIC Quilt Packaging (QP) Concept Electrical

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Silicon Photonics for Next Generation System Integration Platform

Silicon Photonics for Next Generation System Integration Platform NEW PARADIGMS IN OPTICAL COMMUNICATIONS AND NETWORKS Silicon Photonics for Next Generation System Integration Platform Yasuhiko Arakawa, The University of Tokyo Takahiro Nakamura, Yutaka Urino and Tomoyuki

More information

An Economic Comparison of PSM4, PAM, and LR4

An Economic Comparison of PSM4, PAM, and LR4 An Economic Comparison of PSM4, PAM, and LR4 Brian Welch www.luxtera.com Supporters Chris Bergey Luxtera Tom Palkert Luxtera John Petrilla Avago Jon Anderson Oclaro Arash Farhood Cortina Sudeep Bhoja Inphi

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

High Speed Optical Link Based on Integrated Silicon Photonics

High Speed Optical Link Based on Integrated Silicon Photonics High Speed Optical Link Based on Integrated Silicon Photonics Dr. Haisheng Rong Photonics Research Lab Intel Corporation www.intel.com/go/sp PKU, Summer School July 04, 2012 Agenda Motivation Electronic

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

FIREFLY APPLICATION DESIGN GUIDE

FIREFLY APPLICATION DESIGN GUIDE FIREFLY APPLICATION DESIGN GUIDE FIREFLY MICRO FLYOVER SYSTEM FUTURE-PROOF MINIATURE FOOTPRINT HIGH PERFORMANCE VERSATILITY EASE OF USE Interchangeability of FireFly copper and optical using the same high-performance

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

Horizon 2020 EU Japan coordinated R&D project on Scalable And Flexible optical Architecture for Reconfigurable Infrastructure (SAFARI)

Horizon 2020 EU Japan coordinated R&D project on Scalable And Flexible optical Architecture for Reconfigurable Infrastructure (SAFARI) Horizon 2020 & MIC funded SAFARI Project Scalable and Flexible optical Architecture for Reconfigurable Infrastructure Horizon 2020 EU Japan coordinated R&D project on Scalable And Flexible optical Architecture

More information

ECOC Market Focus State of the Optical Transport Market

ECOC Market Focus State of the Optical Transport Market CONNECTING AT THE SPEED OF LIGHT ECOC 2017 - Market Focus State of the Optical Transport Market SEPTEMBER 19, 2017 1 Demand Surging for Bandwidth and Network Capacity Traffic demand is growing for traditional

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

CMOS Photonic Processor-Memory Networks

CMOS Photonic Processor-Memory Networks CMOS Photonic Processor-Memory Networks Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Acknowledgments Krste Asanović, Rajeev Ram, Franz Kaertner, Judy Hoyt, Henry Smith,

More information

SILICON-TO-SILICON APPLICATION SOLUTIONS GUIDE. TECHNOLOGIES, PRODUCTS & SUPPORT FOR 28/56 Gbps SYSTEMS & BEYOND

SILICON-TO-SILICON APPLICATION SOLUTIONS GUIDE. TECHNOLOGIES, PRODUCTS & SUPPORT FOR 28/56 Gbps SYSTEMS & BEYOND SILICON-TO-SILICON APPLICATION SOLUTIONS GUIDE TECHNOLOGIES, PRODUCTS & SUPPORT FOR 28/56 Gbps SYSTEMS & BEYOND NEXT GENERATION SOLUTIONS FOR 28/56 Gbps SYSTEMS & BEYOND As data rate requirements approach

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices May 2018 Integrated Optical Devices 2017 a good year for Silicon Photonics, a fantastic year for integrated InP and GaAs optics Source: Luxtera with text added by LightCounting

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Driving the future of datacenters

Driving the future of datacenters Driving the future of datacenters Making Fast Faster Product Catalog Power and performance for optimized mega-datacenters of the future Company Profile ColorChip brings high speed data transmission to

More information

Development of Optical Wiring Technology for Optical Interconnects

Development of Optical Wiring Technology for Optical Interconnects Development of Optical Wiring Technology for Optical Interconnects Mitsuhiro Iwaya*, Katsuki Suematsu*, Harumi Inaba*, Ryuichi Sugizaki*, Kazuyuki Fuse*, Takuya Nishimoto* 2, Kenji Kamoto* 3 We had developed

More information

CMOSETR Session C1, July 7 (Macroelectronics)

CMOSETR Session C1, July 7 (Macroelectronics) Universal Flexible Hybrid System Development Kit including MCU, ADC and RFIC Prepared for: CMOSETR Session C1, July 7 (Macroelectronics) Doug Hackler President & CEO doughackler@americansemi.com 208 336-2773

More information

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016 On Board Optical Interconnection A Joint Development Project Consortium Terry Smith & John MacWilliams October 31, 2016 Presentation Outline Executive Summary Issues in Board-Level Optical Interconnect

More information