Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator

Size: px
Start display at page:

Download "Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator"

Transcription

1 Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Justin Hernandez SA837/CORP/GSG Philip Giangarra RU433/SPS/NCSG ABSTRACT VLSI designs, which consist of partial scan and BIST (built-in self test), often give rise to an area of circuitry that can only be verified after fabrication by functional manufacturing test patterns. The faultgrading process quantifies the ability of the entire test suite to detect a manufacturing fault in the circuit. Advances in technology and the ever-increasing size and complexity of VLSI circuits have proven the task of obtaining a faultgrading measurement within an acceptable period of time and level of cost a challenging one. This paper describes a faultgrading methodology that makes use of the Cadence Incisive accelerator/emulator in order to faultgrade the manufacturing test suite used for the Motorola C-Port c5 -dcp (digital communications processor). The Incisive accelerator/emulator provided the flexibility to faultgrade the c5-dcp in a period of 6 weeks, in comparison to the more than 4,000 years it would take to perform the same task on a software simulator. INTRODUCTION This paper describes the manufacturing test methodology used for the Motorola C-Port c5-dcp, presenting the issues faced, how solutions were created and results. BACKGROUND Faultgrading, FG, is a metric which measures the ability of a test suite 1, T, to detect a manufacturing fault in a circuit. The faultgrade process may be carried out in many different ways; the method that is discussed in this 1 Typically a suite of more than one test. paper is a serial fault simulation. This process involves taking a fault-free circuit, C, and modifying it by inserting a single stuck at fault, f, thus creating Cf. Then the test suite is simulated on the circuit, Cf, to ascertain the test suite s ability to detect the stuck at fault in the circuit. This process is repeated for a set of faults, F, in order to obtain a measurement of the number of detected faults, D. The faultgrading metric is calculated by the ratio of the total number of detected faults to the total number of inserted faults. FG T = D / F The faultgrading metric is extremely valuable because it allows us to quantify the quality of the manufacturing test suite, which is directly related to the quality of the shipped product. MANUFACTURING TEST METHODOLOGY The c5-dcp was designed using a mixture of standard cell blocks, full custom blocks and generated logic (for regular structures such as memories). The standard cell logic contained full scan flip-flops and scan chains; most memories (RAMs, CAMs and WCSs) contained BIST. The full custom logic had a nominal amount of extra test logic added. Therefore, the manufacturing test methodology that was used consisted of ATPG (automatic test pattern generation) and functional manufacturing test patterns. The c5-dcp has approximately 70 percent of the design covered by scan and BIST; the remaining 30 of the design was covered by functional manufacturing test patterns. FUNCTIONAL PATTERNS The functional manufacturing test patterns were written in c-code and then converted to an AVF 2 (ASCII vector file) pattern, which was then played on the Credence Tester, as shown in Figure 1. The flow for this conversion process consisted of compiling the c-code into a package 3. The package was then loaded into the instruction memory of the c5-dcp, and once the design was taken out of reset, the RISC core(s) began to fetch, decode and execute the functional manufacturing test. At the same time, test data was supplied at the appropriate input ports of the chip. The activity around the ports of the c5-dcp was then recorded into a VCD (value change dump) file. A software utility was then used to convert the VCD file into an AVF (ASCII vector file). Statement and toggle coverage measurements were 2 AVF is the native language of the Credence Tester. 3 A package file is essentially a binary image of instructions that can be executed by the RISC core(s) on the c5-dcp.

2 performed; the results were used to direct the functional manufacturing test writing team to either modify tests from the existing test suite or to add new tests. C - Code VCD CST Compiler Conversion Program Package AVF Test Generation Credence Tester Figure 1: Functional Manufacturing Test Pattern Flow ISSUES FACED AND SOLUTIONS CREATED The coverage of the ATPG vectors for the partial scan and BIST were known. However, the coverage of the functional manufacturing test patterns was unknown. Hence, there was a need to determine the quality of the suite of manufacturing test patterns in order to know how good the patterns were at detecting manufacturing faults in the c5-dcp. NEED FOR FAULTGRADE The intended purpose of the manufacturing test suite was to run on the pre-packaged parts. The expectation was that the test suite would remove the defective parts before they reached the packaging stage of the manufacturing process, hence reducing the overall cost of test. However, the early samples of packaged parts from the manufacturing plant were failing system-level tests. This implied that the manufacturing test suite was inadequate for removing all defective parts, demonstrating a need to faultgrade the manufacturing test suite in order to identify where the deficiencies lied. test suite to determine if the fault was detected. In addition to this, the Cadence software provided the capability to automate the faultgrading process. The most elegant feature of the emulation solution was the emulator s capability to achieve simulation speeds in the order of tens of thousands of cycles per second. In comparison, a software simulation of the c5-dcp gatelevel netlist could only reach tens of cycles per second. FAULT DICTIONARY CREATION Before the c5-dcp design could be faultgraded, a fault dictionary needed to be created. The fault dictionary describes the stuck-at-one and stuck-at-zero condition for each and every net in the circuit under test. The tool chosen to perform fault dictionary creation was Fastscan by Mentor Graphics. Fastscan classified each of the faults into one of several categories. The categories were then used to indicate: - if the fault was detected by a scan chain - if the fault was untestable 4 - if the fault was equivalent to another fault in the fault dictionary The fault dictionary was then collapsed, which is the process of removing all of the functionally equivalent faults from the total set of faults. For faultgrading it is sufficient to consider only one representative fault from every equivalent set of faults. In addition to this, the SOFTWARE FAULTGRADING SOLUTION Originally, the Cadence Verifault-XL concurrent fault software was chosen to faultgrade the suite of manufacturing patterns. However, after running a small manufacturing test case on a large Sun server, it became immediately apparent that this would not be fast enough. From the test case, we projected a total time of over 12,000 years (for a single computer). EMULATION FAULTGRADING SOLUTION The Cadence Incisive accelerator/emulator was chosen to perform the task of faultgrading the manufacturing test suite on the c5-dcp design. This involved the emulator behaving like a tester. However, the emulator provided the flexibility to modify the circuit to insert a single stuck at fault and then simulate the manufacturing 4 Fastscan defines an untestable fault as a fault that cannot result in a functional failure.

3 faults that were classified as untestable were also removed, thus creating a collapsed and testable fault dictionary, FDct. were then faultgraded against the functional patterns in the manufacturing test suite on the Incisive accelerator/emulator, shown in Figure 3. SAMPLING Due to the large size of the c5-dcp circuit, it was prohibitive with respect to both time and cost to faultgrade the entire fault dictionary, FDct. Hence, a random fault sampling technique [1] was used to create a smaller fault dictionary, FDsm, which could be faultgraded in an acceptable period of time. However, sampling creates a tradeoff between the accuracy of the faultgrade metric and the cost to perform the faultgrade measurement. Remove Detected Faults and Faultgrade Next Pattern Fault Dictionary, FD sm Get Next Fault Insert Fault Emulate Pattern on c5 Design Once the fault dictionary, FDct, was created and the maximum acceptable error was known, the size of the random fault sample dictionary, m, could be calculated b equation 2 in Appendix A: Sampling of Fault Dictionary. Since the random fault sample dictionary, FDsm, still contained the Fastscan classifications, the faults that were detected by partial scan were immediately considered as being detected by the manufacturing test suite. Similarly, the faults in the random sample covered by BIST were also considered as being detected, thus further reducing the simulation time needed to faultgrade the functional patterns in the manufacturing test suite. As shown by Figure 2, the remaining faults in the random fault sample dictionary, FDsm, were simulated on the Incisive accelerator/emulator to establish what additional coverage was gained, thus giving the final faultgrading metric. Fastscan C5 Netlist 100% Fault Dictionary, FD ct Random Sample Fault Dictionary, FD sm Yes Any Remaining Patterns to Faultgrade? No Faultgrade Metric Figure 3: Algorithm for Optimum Pattern Order The order in which the functional manufacturing patterns are faultgraded directly impacts the total amount of time needed. Appendix B: Optimum Faultgrade Pattern Order demonstrates that the optimum pattern order involves a tradeoff between the length of the pattern and the number of faults detected by that pattern. However, since the number of faults detected by a pattern is unknown until after the faultgrade, determining the optimum order involves predicting the future. Fortunately, statement coverage results obtained from simulating the patterns on the RTL assists in predicting the expected number of faults to be caught. ATPG and BIST Detected Faults Filter ATPG/BIST Remaining Faults for Faultgrading Faultgrade on Incisive Accel. In general, if two patterns, A and B, are such that pattern A is larger than pattern B, if the statement coverage indicates that pattern A has higher statement coverage than pattern B, then pattern A should be faultgraded first. Figure 2: Random Fault Sample Dictionary Generation Flow OPTIMUM PATTERN ORDER Once the random fault sample dictionary, FDsm, had been created, the faults detected by ATPG and BIST were immediately categorized as detected by the manufacturing test suite. The remaining faults in FDsm If (Statement Coverage B > (1+ ρ/α) * Statement Coverage A) Faultgrade order = Pattern B first, Pattern A second; Else Faultgrade order = Pattern A first, Pattern B second. Figure 4: Serial Faultgrade Flow

4 However, the order should be reversed when the statement coverage of pattern B is greater than the factor, which pattern B is larger than pattern A. This algorithm is shown in Figure 4. Note that this algorithm should be use only as a general rule of thumb. The error that is involved with this algorithm is specified in Appendix B. RESULTS The Incisive accelerator/emulator proved itself as being more than capable of faultgrading the manufacturing test suite on the c5-dcp within an acceptable period of time and at a satisfactory cost. CYCLES USED The faultgrading of the manufacturing test suite of the c5-dcp required 6 weeks of access to an Incisive accelerator/emulator. This equated to over 250 billion simulation cycles. In comparison, it would have taken more than 4,000 years to reach this volume of software simulation cycles to faultgrade the manufacturing test suite on the c5-dcp gate-level netlist. LESSONS LEARNED ON CUSTOM STRUCTURES The c5-dcp consisted of custom and semi-custom structures. The advantages of designing with custom structures were that the silicon area was greatly reduced and the maximum speed of the circuit was increased. However, since there was no scan logic included in the custom structure, automatic test pattern generation was not possible, thus creating the need for functional manufacturing test patterns and the need for faultgrading these patterns. The development of the functional manufacturing patterns proved to be the most challenging element of the manufacturing test methodology. This was primarily due to the functional manufacturing test patterns being written very late in the design flow, at which point it was very difficult to change the design 5. Hence, the lesson learned was that when custom structures are used in a design, additional engineering resources need to be employed very early on in the design flow so that the custom structure can be tested with ease by scan, BIST or functional manufacturing test patterns. CONCLUSION This paper has described the manufacturing test methodology used for the c5-dcp, presenting the issues faced, how solutions were created and the results. Since the c5-dcp design was covered 70 percent by partial scan and partial memory BIST, this created the need for functional manufacturing test patterns, which created the need to faultgrade the manufacturing test suite to quantitatively measure the test suite s ability to detect a defect in the manufactured part. We found that the emulation solution, which employed the use of the Incisive accelerator/emulator, provided the flexibility to faultgrade the c5-dcp in a period of 6 weeks. In comparison, it would have taken over 4,000 years to perform the same task on a software simulator. Other issues such as the creation and sampling of the fault dictionary and the optimum order to faultgrade the functional test patterns were also explored. The results showed that the Incisive accelerator/emulator proved itself as being more than capable of faultgrading the manufacturing test suite on the c5-dcp within an acceptable period of time and at a satisfactory cost. In addition to this, we found that if the functional manufacturing test patterns had been created earlier in the design flow, it would have become obvious that additional manufacturing test techniques such as scan and BIST were needed. ACKNOWLEDGEMENTS The authors thank the Motorola VLSI design team in Mansfield, Mass. and the Cadence support team in Lowell, Mass. Philip Giangarra was responsible for uncovering the Incisive accelerator/emulator s potential to solve the problem of faultgrading the manufacturing test suite on the c5-dcp design. He was the primary contributor to the development of the automation software, which unleashed the capability of the Incisive accelerator/emulator to automate the faultgrading process. David Sallard and Jason Drew from Cadence provided support throughout the c5-dcp faultgrade project. REFERENCES [1] Digital Systems Testing and Testable Design, Miron Abramovici, Melvin A. Breuer, Arthur D. Friedman. 5 In this context, the term late in the design flow refers to a period after the first tape-out revision of the c5-dcp.

5 TRADEMARKS Motorola is a registered trademark of Motorola Inc. C-5, C-5e and C-Port are all registered trademarks of C- Port Corporation. Verifault-XL, Incisive and Incisive accelerator/emulator are all trademarks of Cadence Design Systems, Inc. Design Compiler is a registered trademark of Synopsys Inc. Fastscan is a registered trademark of Mentor Graphics. Credence is a registered trademark of Credence Systems Corporation. APPENDIX A: SAMPLING OF FAULT DICTIONARY When taking a sample from the fault dictionary, the maximum error, e max, of the measured faultgrade metric, F, is dependent on the size of the collapsed netlist, M, the size of the random sample taken, m, and the measured faultgrade metric, F. Definitions Equation 1: e max = 3 ( ( F.( 1-F ).( 1 m/m ) ) / m ) Due to the F.(1-F) factor that is in the numerator, this ensures that e max is at a maximum when F=0.5. Hence, if the size of the collapsed netlist, M, is known, and the maximum acceptable error of the faultgrade, e max, is known, then if we let F=0.5. The sample size may be computed by: Let F=0.5 e max = 3 ( ( 0.5.( ).( 1 m/m ) ) / m ) e max 2 / 9 = ( ( 0.25 ).( 1 m/m ) ) / m e max 2 / 2.25 = ( 1 m/m ) / m M.e max 2 / 2.25 = ( 1 m/m ) / ( m/m ) Let s = m/m ( which is the size of the sample as a percentage of the collapsed netlist ) Let a = M.e max 2 / 2.25 a.s = 1 s s = 1 / ( 1 + a ) s = 1 / ( 1 + ( M.e max 2 / 2.25 ) ) Equation 2: m = M / ( 1 + ( M.e max 2 / 2.25 ) ) APPENDIX B: OPTIMUM FAULTGRADE PATTERN ORDER This appendix describes the optimum order that patterns should be faultgraded in: Definitions ζ = Number of faults detected from first pass, when pattern A is run first ζ = Number of faults detected from first pass, when pattern B is run first α = Length of pattern A β = Length of pattern B γ = Number of faults to be inserted on first pass ρ = β - α = Number of additional cycles that pattern B is than pattern A If pattern A is faultgraded before pattern B then the total number of cycles, T, needed for faultgrading both patterns, T, is given by: Equation 3: T = γ.α + (γ - ζ ).β Substitute β = α + ρ into Equation 3 T = γ.α + (γ - ζ ).( α + ρ ) T = γ.α + γ.α + γ.ρ - ζ.α - ζ.ρ T = 2.γ.α - ζ.α + γ.ρ - ζ.ρ Equation 4: T = α.( 2.γ - ζ ) + γ.ρ - ζ.ρ If pattern B is faultgraded before pattern A then the total number of cycles, T, needed for faultgrading both patterns is given by: Τ = γ.β + ( γ - ζ ). α Substitute β = α + ρ Τ = γ.( α + ρ ) + ( γ - ζ ).α Τ = α.γ + γ.ρ + α.γ - α.ζ Τ = 2.α.γ + γ.ρ - α.ζ Equation 5: Τ = α.( 2.γ - ζ ) + γ.ρ Now let s create an inequality using Equation 4 and Equation 5 to determine the number of additional faults that pattern B needs to detect, ζ, when pattern B is run first, in order for the total number of cycles to be less. Τ < T α.( 2.γ - ζ ) + γ.ρ < α.( 2.γ - ζ ) + γ.ρ - ζ.ρ -α.ζ < -α.ζ - ζ.ρ Equation 6: ζ > ζ.(1 + ρ/α) In order to minimize the total number of cycles needed to faultgrade patterns A and B, in general pattern A should always be run before pattern B, unless pattern B shall detect more faults than the factor which pattern B is larger than pattern A. For Example, α = 10 (length of pattern A) β = 15 (length of pattern B) Then, ρ = β - α = 5 (Number of addition cycles that pattern B is than pattern A) Hence, ζ > ζ.(1 + ρ/α) ζ > ζ.(1 + 5/10) ζ > ζ.(1.5) So, in order to justify running pattern B before pattern A, pattern B must detect 50 percent more faults than pattern A on its first pass.

6 In should be noted that this result should only be used as a rule of thumb because it assumes that the fault is detected near or at the end of each of the patterns. This is a significant assumption because the point in the pattern at which the fault is detected is dependent on a number of variable factors, which include: - if the fault will be caught - the size of the fault dictionary sample - the stage at which the pattern is run in the overall faultgrade - correlation between the remaining faults and the area of circuitry being targeted by the pattern - the nature of the pattern (i.e. register write/read test pattern vs. a finite state machine test pattern).

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest Mentor Graphics Tools for DFT DFTAdvisor, FastScan and FlexTest 1 DFT Advisor Synthesis tool capable of doing DRC, Scan Insertion and Test point Synthesis Creates a do file and a test procedure file after

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

Part II: Laboratory Exercise

Part II: Laboratory Exercise SYDIC-Training Course on Digital Systems Testing and Design for Testability Part II: Laboratory Exercise Gert Jervan (gerje@ida.liu.se) Embedded Systems Laboratory (ESLAB) Linköping University March, 2003

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Lab Instructions. Design for Test of Digital Systems TDDC33. Date of last revision 24/08/ Dimitar Nikolov, IDA/SaS ESLAB

Lab Instructions. Design for Test of Digital Systems TDDC33. Date of last revision 24/08/ Dimitar Nikolov, IDA/SaS ESLAB Design for Test of Digital Systems TDDC33 Lab Instructions Date of last revision 24/08/2012 2012 Dimitar Nikolov, IDA/SaS ESLAB TDDC33 Design for Test of Digital Systems Table of Contents 1. Introduction...

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

An Overview of Microprocessor The first question comes in a mind "What is a microprocessor?. Let us start with a more familiar term computer. A digital computer is an electronic machine capable of quickly

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Algorithm for Determining Most Qualified Nodes for Improvement in Testability

Algorithm for Determining Most Qualified Nodes for Improvement in Testability ISSN:2229-6093 Algorithm for Determining Most Qualified Nodes for Improvement in Testability Rupali Aher, Sejal Badgujar, Swarada Deodhar and P.V. Sriniwas Shastry, Department of Electronics and Telecommunication,

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Full-Chip Pattern Integration

Full-Chip Pattern Integration Introduction Full-Chip Pattern Integration Failing tests; schedule slips; silicon re-spins; development tools that break with each new design. A growing number of test engineers are faced with these critical

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Digital System Test and Testable Design

Digital System Test and Testable Design Digital System Test and Testable Design wwwwwwwwwwww Zainalabedin Navabi Digital System Test and Testable Design Using HDL Models and Architectures Zainalabedin Navabi Worcester Polytechnic Institute Department

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

Reset and Initialization, the Good, the Bad and the Ugly

Reset and Initialization, the Good, the Bad and the Ugly Reset and Initialization, the, the and the Ugly Ping Yeung Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division MediaTek Inc, San Jose, U.S.A. Abstract-

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization

A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization Prabhat K. Saraswat, Ghazal Haghani and Appiah Kubi Bernard Advanced Learning and Research Institute,

More information

Digital Systems Testing

Digital Systems Testing Digital Systems Testing Verilog HDL for Design and Test Moslem Amiri, Václav Přenosil Embedded Systems Laboratory Faculty of Informatics, Masaryk University Brno, Czech Republic amiri@mail.muni.cz prenosil@fi.muni.cz

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Circuit Partitioning for Application-Dependent FPGA Testing

Circuit Partitioning for Application-Dependent FPGA Testing Circuit Partitioning for Application-Dependent FPGA Testing by Rui Zhen Feng B.Eng, Hefei University of Technology, 1996 A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

SystemC-to-Layout ASIC Flow Walkthrough

SystemC-to-Layout ASIC Flow Walkthrough SystemC-to-Layout ASIC Flow Walkthrough 20.6.2015 Running the Demo You can execute the flow automatically by executing the csh shell script: csh run_asic_demo.csh The script runs all tools in a sequence.

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Design Visibility Enhancement for Failure Analysis

Design Visibility Enhancement for Failure Analysis Design Visibility Enhancement for Failure Analysis Etienne Auvray ST Microelectronics etienne.auvray@st.com ST: Typical FA Process Flow Three steps for fault isolation: Test-based Fault Isolation Global

More information

A Behavioral Test Strategy For Board Level Systems

A Behavioral Test Strategy For Board Level Systems A Behavioral Test Strategy For Board Level Systems by Qaisar Hameed Thesis submitted to the Faculty of Virginia Polytechnic Institute and State University In partial fulfillment of the requirements of

More information

Analyzing Formal Verification and Testing Efforts of Different Fault Tolerance Mechanisms

Analyzing Formal Verification and Testing Efforts of Different Fault Tolerance Mechanisms Appear in th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 9 Chicago, Illinois, October, 9 Analyzing Formal Verification and Testing Efforts of Different Fault Tolerance Mechanisms

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

EET2411 DIGITAL ELECTRONICS. A device or electrical circuit used to store a single bit (0 or 1) Ex. FF.

EET2411 DIGITAL ELECTRONICS. A device or electrical circuit used to store a single bit (0 or 1) Ex. FF. Chapter 12 - Memory Devices Digital information is easily stored Commonly used memory devices and systems will be examined Flip flops Registers VLSI and LSI memory devices The difference between main memory

More information

Low-Cost Software-Based Self-Testing of RISC Processor Cores

Low-Cost Software-Based Self-Testing of RISC Processor Cores 1530-1591/03 $17.00 2003 IEEE Low-Cost Software-Based Self-Testing of RISC Processor Cores N. Kranitis 1 G. Xenoulis 2 D. Gizopoulos 2 A. Paschalis 1 Y. Zorian 3 1 Department of Informatics & Telecom.

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

Development of a Boundary Scan Test controller creation tool

Development of a Boundary Scan Test controller creation tool Eindhoven University of Technology MASTER'S THESIS Development of a Boundary Scan Test controller creation tool by J.H. Coenen Supervisors: Prof. Ir. M.T.M. Segers Ir. M.N.M. Muris The faculty of Electronical

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

EECS 579: Built-in Self-Test 3. Regular Circuits

EECS 579: Built-in Self-Test 3. Regular Circuits EECS 579: Built-in Self-Test 3 Outline Implementing BIST by regularization Adder ALU RAM Commercial BIST approaches LOCSD STUMPS CSTP Case Study Bosch AE11 microcontroller John P. Hayes University of Michigan

More information

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware?

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware? Part II CST: SoC D/M: Quick exercises S3-S4 (examples sheet) Feb 2018 (rev a). This sheet contains short exercises for quick revision. Please also look at past exam questions and/or try some of the longer

More information

FALCON: Rapid Statistical Fault Coverage Estimation for Complex Designs

FALCON: Rapid Statistical Fault Coverage Estimation for Complex Designs Technical Report FALCON: Rapid Statistical Fault Coverage Estimation for Complex Designs Shahrzad Mirkhani and Jacob A. Abraham UT-CERC-12-04 May 30, 2012 Computer Engineering Research Center Department

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

DESIGN-FOR-TESTABILITY AND DIAGNOSIS METHODS TO TARGET UNMODELED DEFECTS IN INTEGRATED CIRCUITS AND MULTI-CHIP BOARDS

DESIGN-FOR-TESTABILITY AND DIAGNOSIS METHODS TO TARGET UNMODELED DEFECTS IN INTEGRATED CIRCUITS AND MULTI-CHIP BOARDS DESIGN-FOR-TESTABILITY AND DIAGNOSIS METHODS TO TARGET UNMODELED DEFECTS IN INTEGRATED CIRCUITS AND MULTI-CHIP BOARDS by Hongxia Fang Department of Electrical and Computer Engineering Duke University Date:

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Basic Concepts of Reliability

Basic Concepts of Reliability Basic Concepts of Reliability Reliability is a broad concept. It is applied whenever we expect something to behave in a certain way. Reliability is one of the metrics that are used to measure quality.

More information

Extraction Error Diagnosis and Correction in High-Performance Designs

Extraction Error Diagnosis and Correction in High-Performance Designs Extraction Error iagnosis and Correction in High-Performance esigns Yu-Shen Yang 1 J. Brandon Liu 1 Paul Thadikaran 3 Andreas Veneris 1,2 Abstract Test model generation is crucial in the test generation

More information

outline Reliable State Machines MER Mission example

outline Reliable State Machines MER Mission example outline Reliable State Machines Dr. Gary R Burke California Institute of Technology Jet Propulsion Laboratory Background JPL MER example JPL FPGA/ASIC Process Procedure Guidelines State machines Traditional

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

CS232 VHDL Lecture. Types

CS232 VHDL Lecture. Types CS232 VHDL Lecture VHSIC Hardware Description Language [VHDL] is a language used to define and describe the behavior of digital circuits. Unlike most other programming languages, VHDL is explicitly parallel.

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE 122 Advances in Microelectronics 7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPETIVE hia Yee Ooi Norlina Paraman 7.1 ONTEXT The advantage of a top-down design flow, specifying design a high abstraction

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Diagnostic Test Vectors for Combinational and Sequential

Diagnostic Test Vectors for Combinational and Sequential Compaction of Pass/Fail-based Diagnostic Test Vectors for Combinational and Sequential Circuits Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi and Yuzo Takamatsu(Ehime University) Kewal K. Saluja

More information