Chapter 9. Design for Testability

Size: px
Start display at page:

Download "Chapter 9. Design for Testability"

Transcription

1 Chapter 9 Design for Testability

2 Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal or defective) and the location of the defect Testability components: controllability observability predictability page 2 of 42 Copyright Miron Abramovici, 1997 November 1997

3 Rationale for DFT reduce lifetime cost of ownership of a product increase quality reduce time-to-market page 3 of 42 Copyright Miron Abramovici, 1997 November 1997

4 Why Isn t DFT Universally Used? Short-sighted view of management (schedule and costs) Life-cycle costs ignored Overhead and performance degradation myths Costs are easier to quantify than benefits Lack of knowledge by design engineers Testing is someone else s problem Poor organizational interfaces: design test Incompatibility: design CAD tools test tools Test requirements and test strategy: lacking or poorly defined page 4 of 42 Copyright Miron Abramovici, 1997 November 1997

5 Testability Part of the design requirements Logic introduced to satisfy requirements is not overhead DFT helps both design and test page 5 of 42 Copyright Miron Abramovici, 1997 November 1997

6 DFT Techniques: Evaluation Criteria Hardware costs area power dissipation routing pins packaging Fault/defect coverage SSFs shorts, opens delay faults Test application time Performance degradation CAD tools support page 6 of 42 Copyright Miron Abramovici, 1997 November 1997

7 DFT Techniques: Evaluation Criteria... Life-cycle usefulness development (design costs, time-to-market) manufacture (IC test, board test, system test) debug (lab test) field support and maintenance Computational costs (test development time) ATE costs (Sematech prediction: ~$20M by year 2010) page 7 of 42 Copyright Miron Abramovici, 1997 November 1997

8 Test Synthesis Automated implementation of DFT techniques When coupled with logic (design) synthesis, several objectives are concurrently optimized: area performance power testability HDL model Logic Synthesis Test Synthesis Gate-level model Different DFT techniques may be applied to different subcircuits (embedded RAM, random logic, embedded µp, IC on board,...) page 8 of 42 Copyright Miron Abramovici, 1997 November 1997

9 Ad-Hoc DFT (9.2) Provide test points and control points Provide easy initialization Partition the logic Provide bus access Bypass clock generation, one-shots,... for testing Avoid/bypass asynchronous logic Break feedback loops Disable intentional redundancies for testing Provide access to embedded blocks... page 9 of 42 Copyright Miron Abramovici, 1997 November 1997

10 System-Level Test Using System Bus nonbus I/O MP or ATE µp UNIT 1 UNIT N system bus ROM RAM I/O controller I/O BUS MP = Maintenance Processor page 10 of 42 Copyright Miron Abramovici, 1997 November 1997

11 A Look at Several Ad-Hoc DFT Techniques Change circuit behavior in Test Mode Partitioning using multiplexers pipeline structures large blocks Observing several test points with only one spare pin Providing pull-up (or pull-down) loads for busses Loadable flip-flops page 11 of 42 Copyright Miron Abramovici, 1997 November 1997

12 Test Mode Add one (or more) pin(s) to define the mode of operation of the circuit Use test mode(s) to modify structures detrimental to testing Clock generator 0 1 D C FF Q D C FF R Q Test_clock 0 N/T feedback loop Test_reset 0 1 N/T 1 N/T page 12 of 42 Copyright Miron Abramovici, 1997 November 1997

13 Test Mode: Cost-Benefit Analysis - Pins: 1 - Area: negligible - Delays: negligible (unless feedback loop has critical timing) - Routing: negligible (several test signals) - Bypassed areas must be tested in normal mode + Essential to allow ATPG to process the circuit + Higher fault coverage + Also needed with structured DFT techniques page 13 of 42 Copyright Miron Abramovici, 1997 November 1997

14 Partitioning a Pipeline Structure via Multiplexers Before: After: A B C S 1 S 2 Mode 0 0 Normal 1 1 test B 0 1 test A 1 0 test C M A U B C X M U X S 1 S 2 page 14 of 42 Copyright Miron Abramovici, 1997 November 1997

15 Testing the Partitioned Circuit Testing B: M U X A B C M U X Testing A: M U X A B C M U X Testing C: M U X A B C M U X page 15 of 42 Copyright Miron Abramovici, 1997 November 1997

16 Pipeline MUX Partitioning: Cost-Benefit Analysis - Pins: 2 - Area and delays: one MUX for every internal signal - Routing: extra fanout for all PIs and for outputs of B + C: more controllable (PIs A B PIs A) + A: more observable (B C POs B POs) + B: totally controllable and observable (PIs A B C POs PIs B POs + Better fault coverage + Faster ATPG + Faster fault simulation page 16 of 42 Copyright Miron Abramovici, 1997 November 1997

17 Cost-Benefit Analysis... + Better fault location: first test B by itself then test A observing it through the already tested B then test C controlling it through the already tested B page 17 of 42 Copyright Miron Abramovici, 1997 November 1997

18 Partitioning a Large Block via Multiplexers B T 1 T 2 B A m / D /p / s C / n A D A 1 S M U 0 X C C 1 / q E C 2 C 1 S M U X 1 C 0 E C 2 F G Problems: controlling D, E observing D, E F 0 1 MUX S F A A G 1 0 S MUX G C C page 18 of 42 Copyright Miron Abramovici, 1997 November 1997

19 Testing the Partitioned Circuit T 1 T 2 B T 1 T B A D A 1 S M U 0 X C A D A 1 S M U 0 X C C 1 S M U X 1 C 0 E C 2 C 1 S M U X 1 C 0 E C 2 F 0 1 MUX S G 1 0 S MUX F 0 1 MUX S G 1 0 S MUX F G F G Testing C 1 Testing C 2 page 19 of 42 Copyright Miron Abramovici, 1997 November 1997

20 Partitioning with MUXes: Cost-Benefit Analysis - Pins: 2 - Area and delay: MUXes for all POs and subsets of PIs - Routing: additional fanout for subsets of PIs (A and C ) - Some connections C 1 C 2 not tested in test mode + Better controllability and observability for D and E + Reduced test application time + Reduced fault simulation time + Reduced test generation time + Better fault location page 20 of 42 Copyright Miron Abramovici, 1997 November 1997

21 Test Application Time Assumptions: exhaustive testing m=n p=q p m # patterns without partitioning: 2 2m+s # patterns with partitioning: 2 2 m+s+p Reduction= 2 s-p-1 Example: m=n=s=8; p=q= A m / C 1 F B D /p / s / q E C 2 G C / n page 21 of 42 Copyright Miron Abramovici, 1997 November 1997

22 Fault Simulation and ATPG Time Assumptions: G = # of gates in the original circuit fault simulation time ~O(G 2 ) C 1 and C 2 have ~G/2 gates ATPG time ~O(G 3 ) For the partitioned circuit fault simulation time: 2 O(G/2) 2 = 0.5 O(G 2 ) ATPG time: 2 O(G/2) 3 = 0.25 O(G 3 ) page 22 of 42 Copyright Miron Abramovici, 1997 November 1997

23 Observing Several Test Points with One Pin Problem: We d like to observe N internal signals, but we have only one spare pin. Solution: Combine the internal signals via an XOR (parity) tree. TP 1 TP 2 TP 3... TPN Potential trouble: A fault that affects an even number of test points concurrently may not be detected (error masking). Potential solution: Pick independent test points. Add: TP 1 TP 2 TP 3 TP N pin page 23 of 42 Copyright Miron Abramovici, 1997 November 1997

24 XORing Test Points: Cost-Benefit Analysis - Pins: 1 - Area: XOR tree - Routing: additional fanout for N signals + Minimal impact on performance + Direct observability for N signals + Better fault coverage + More efficient fault simulation + More efficient ATPG + Better diagnostics page 24 of 42 Copyright Miron Abramovici, 1997 November 1997

25 Providing Pull-Up Loads for Busses Without the pull-up: No driver is enabled the bus is floating floating = unknown value Bus=u/0 fault on Enable (or in the logic feeding it) is undetectable or potentially detected With the pull-up: Z 1 Test for Enable s-a-1: Set all Enable lines to 0 Set all Data lines to 0 Bus=1/0 0 0 Enable 0 s-a-1 0 Data 0 0 Pull-up Bus (u/0) 1/0 + page 25 of 42 Copyright Miron Abramovici, 1997 November 1997

26 Providing Pull-Ups: Cost-Benefit Analysis - Cost: pull-up load + Several untestable faults become testable + Better fault coverage + More efficient fault simulation + More efficient ATPG + Better diagnostics + Also required with structured DFT page 26 of 42 Copyright Miron Abramovici, 1997 November 1997

27 Main Problem: Sequential Circuits Buried registers are difficult to control and observe Many DFT techniques try to improve the controllability and observability of flip-flops (FFs) PI C R PO Q D Clock page 27 of 42 Copyright Miron Abramovici, 1997 November 1997

28 Loadable Flip-Flops Load=0: normal operation Load=1: A subset of FFs (R L ) are loaded from PI values PI C PO Solves only the controllability problem only for FFs in R L R Q D Q R L D M U X Clock Load page 28 of 42 Copyright Miron Abramovici, 1997 November 1997

29 Loadable Flip-Flops: Cost-Benefit Analysis - Pins: 1 - Area: MUX for every input to R L - Delays: MUX (negligible if FFs in R L are not on critical timing paths) - Routing: PIs MUX + Controllability for R L + Better fault coverage (marginal) + Shorter test sequences (marginal) + Allows at-speed testing page 29 of 42 Copyright Miron Abramovici, 1997 November 1997

30 Ad-Hoc DFT: General Remarks + Relatively low overhead and performance impact + Modest improvements in testability + Do not constrain the design + Some technique may be also required with structured DFT - Partial solutions - ATPG feasible only for small/medium circuits - Fault simulation for functional tests: not always feasible - Long test development time - Low fault coverage - No CAD support (or very little) page 30 of 42 Copyright Miron Abramovici, 1997 November 1997

31 Full-Scan Design Transform all flip-flops into scan flip-flops and connect them in a shift register (scan chain) Scan flip-flop System Data M Scan Data U D Q X C Scan_select Clock (Many other styles of scan flip-flop exist.) M U X Scan_select D C Clock Q M U X Scan_select C Clock Scan chain D Q All FFs controllable and observable (via serial access) Note: Scan_select N/T page 31 of 42 Copyright Miron Abramovici, 1997 November 1997

32 Testing a Full-Scan Circuit 1. Flush-test scan chain 2. Scan-in vector (Scan_select = 1) PI PO 3. Apply vector at PIs C 4. Observe results at POs 5. Apply Clock to capture results in register (Scan_select = 0) 6. Scan-out results and scan-in new vector (Scan_select = 1) 7. Go to 3 R S Q D S OUT (Double-sampling technique: observe POs both before and after clocking.) Scan_select S IN Clock page 32 of 42 Copyright Miron Abramovici, 1997 November 1997

33 Full-Scan Design: Cost-Benefit Analysis - Pins: 3 (S IN, S OUT, Scan_enable,...) - Area: (MUXes) 2-10% - Increased power dissipation - Performance degradation: (MUXes) 1-5% - Routing: scan chain connections, Scan_enable - Logic must be synchronous (or synchronous in test mode) - Long test application time (#cycles #vectors #FFs) + Sequential circuits become combinational in test mode + Significantly faster ATPG + High fault coverage (close to 100% for SSFs) page 33 of 42 Copyright Miron Abramovici, 1997 November 1997

34 Cost-Benefit Analysis... + Significantly faster fault simulation + Allows more accurate and more efficient diagnosis (for single or multiple stuck faults, bridging faults, delay faults) + Highly-structured technique + May be applied hierarchically: chips boards system field + Fully automated process + Well-supported by CAD vendors + Very useful for debugging, diagnosis and field service + Reduced time-to-market + Provides good basis for BIST page 34 of 42 Copyright Miron Abramovici, 1997 November 1997

35 BOARD 1 System-Level Scan CHIP BOARD 2 CHIP CHIP CHIP CHIP CHIP BOARD N CHIP CHIP CHIP System maintenance processor Select N Select 2 Select 1 S in S out N _ / T CLK page 35 of 42 Copyright Miron Abramovici, 1997 November 1997

36 Reducing Test Application Time Use k parallel scan chains S IN1 S OUT1 S INk S OUTk Compared to single chain: Cost: additional 2(k-1) pins (S IN, S OUT for each chain) Benefit: test ~k times faster page 36 of 42 Copyright Miron Abramovici, 1997 November 1997

37 Scan Testing Using Multiple Test Sessions Together Mode Single session S in R 1 R 3 one circuit - 12 PIs / 8 / 4 input registers are first in chain and output registers are last use only 12 cycles per vector test time = 1200 cycles S out R 2 C 1 (100 patterns) / 4 R 4 C 2 (20 patterns) / 8 page 37 of 42 Copyright Miron Abramovici, 1997 November 1997

38 Scan Testing Using Multiple Test Sessions... Separate Mode R 1 R 3 Two sessions S in 1. test C 1 - do not use the full chain / 8 / 4 test time = 800 cycles R 2 C 1 (100 patterns) / 4 R 4 C 2 (20 patterns) / 8 S out page 38 of 42 Copyright Miron Abramovici, 1997 November 1997

39 Separate Mode - Session 2 2. test C 2 - with reconfigured chain connect R 4 to S out inhibit clocking of R 2 S in R 1 / 8 R 3 / 4 Input stream: 4-bit vector, xxxx,... C 1 (100 patterns) C 2 (20 patterns) session time 20 8 = 160 cycles S out R 2 / 4 R 4 / 8 total test time = =960 (<1200) page 39 of 42 Copyright Miron Abramovici, 1997 November 1997

40 Scan Testing Using Multiple Test Sessions Overlap Mode R 1 R 3 Two sessions S in 1. one circuit - 12 PIs - for the first 20 vectors session time = 240 cycles R 2 / 8 C 1 (100 patterns) / 4 R 4 / 4 C 2 (20 patterns) / 8 C 2 already tested S out page 40 of 42 Copyright Miron Abramovici, 1997 November 1997

41 Overlap Mode - Session 2 2. test C 1 - as in separate mode session time 80 8 = 640 cycles total test time = =880 (<960) S in R 1 R 2 Note: S out sometimes separate mode leads to shorter tests optimal strategy depends on structure of scan chain, # of test patterns per block, and # of PIs and POs per block / 8 C 1 (100 patterns) / 4 R 4 R 3 / 4 C 2 (20 patterns) / 8 page 41 of 42 Copyright Miron Abramovici, 1997 November 1997

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

BIST-Based Test and Diagnosis of FPGA Logic Blocks 1

BIST-Based Test and Diagnosis of FPGA Logic Blocks 1 BIST-Based Test and Diagnosis of FPGA Logic Blocks 1 Miron Abramovici Bell Labs - Lucent Technologies Murray Hill, NJ Charles Stroud 2 Dept. of Electrical and Computer Engineering University of North Carolina

More information

BIST-Based Test and Diagnosis of FPGA Logic Blocks

BIST-Based Test and Diagnosis of FPGA Logic Blocks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 1, FEBRUARY 2001 159 BIST-Based Test and Diagnosis of FPGA Logic Blocks Miron Abramovici, Fellow, IEEE, and Charles E. Stroud,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Circuit Partitioning for Application-Dependent FPGA Testing

Circuit Partitioning for Application-Dependent FPGA Testing Circuit Partitioning for Application-Dependent FPGA Testing by Rui Zhen Feng B.Eng, Hefei University of Technology, 1996 A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest Mentor Graphics Tools for DFT DFTAdvisor, FastScan and FlexTest 1 DFT Advisor Synthesis tool capable of doing DRC, Scan Insertion and Test point Synthesis Creates a do file and a test procedure file after

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Sequential Circuit Testing 3

Sequential Circuit Testing 3 Sequential Circuit Testing 3 Recap: Approaches State table analysis Machine identification (checking sequence) method Time-frame expansion Misc. Issues Controlling and observing internal states of a sequential

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array Embedded Processor Based Built-In Self-Test and Diagnosis of FPGA Core in FPSLIC John Sunwoo (Logic BIST) Srinivas Garimella (RAM BIST) Sudheer Vemula (I/O Cell BIST) Chuck Stroud (Routing BIST) Jonathan

More information

Characteristics of the ITC 99 Benchmark Circuits

Characteristics of the ITC 99 Benchmark Circuits Characteristics of the ITC 99 Benchmark Circuits Scott Davidson Sun Microsystems, Inc. ITC 99 Benchmarks - Scott Davidson Page 1 Outline Why Benchmark? Some History. Soliciting Benchmarks Benchmark Characteristics

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Automated TestVector Generation. Issues in Test Vector Generation. BIST Approach. Built-In Self Test (BIST) LFSR (cont)

Automated TestVector Generation. Issues in Test Vector Generation. BIST Approach. Built-In Self Test (BIST) LFSR (cont) The oncept of a Fault Testing centers around detection of faults in a circuit. The digital world is made up of interconnected gates Thus, only two things can fail - gates and their interconnections faulty

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Interconnect Testing in Cluster-Based FPGA Architectures

Interconnect Testing in Cluster-Based FPGA Architectures Interconnect Testing in Cluster-Based FPGA Architectures Ian G. Harris Department of Electrical and Computer Engineering University of Massachusetts Amherst, MA 01003 harris@ecs.umass.edu Russell Tessier

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Focus On Structural Test: AC Scan

Focus On Structural Test: AC Scan Focus On Structural Test: AC Scan Alfred L. Crouch Chief Scientist Inovys Corporation al.crouch@inovys.com The DFT Equation The Problem What is Driving Modern Test Technology? 300mm Wafers Volume Silicon/Test

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

Part II: Laboratory Exercise

Part II: Laboratory Exercise SYDIC-Training Course on Digital Systems Testing and Design for Testability Part II: Laboratory Exercise Gert Jervan (gerje@ida.liu.se) Embedded Systems Laboratory (ESLAB) Linköping University March, 2003

More information

SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs

SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs - Hierarchical Test Compression for Pin-limited Low Power Designs K. Chakravadhanula *, V. Chickermane *, D. Pearl *, A. Garg #, R. Khurana #, S. Mukherjee #, P. Nagaraj + Encounter Test R&D, Front End

More information

Strategy to Achieve High Test Coverage for SOC

Strategy to Achieve High Test Coverage for SOC Nor Azura Zakaria MIMOS BERHAD, Malaysia norazura@mimos.my ABSTRACT Yield issues are very important and costly in semiconductor manufacturing process as it depends on the maturity of the process technology

More information

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3 Partial Scan Design Methods Based on Internally Balanced Structure Tomoya TAKASAKI Tomoo INOUE Hideo FUJIWARA Graduate School of Information Science, Nara Institute of Science and Technology 8916-5 Takayama-cho,

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

An Integrated System-Level Design for Testability Methodology

An Integrated System-Level Design for Testability Methodology Linköping Studies in Science and Technology Dissertation No. 660 An Integrated System-Level Design for Testability Methodology by Erik Larsson Department of Computer and Information Science Linköpings

More information

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007 EECS 5 - Components and Design Techniques for Digital Systems Lec 2 RTL Design Optimization /6/27 Shauki Elassaad Electrical Engineering and Computer Sciences University of California, Berkeley Slides

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 5 Combinational Circuit Test Generation (Chapter 7) Said Hamdioui Computer Engineering Lab elft University of Technology 29-2 Learning aims of today

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

ENGIN 241 Digital Systems with Lab

ENGIN 241 Digital Systems with Lab ENGIN 241 Digital Systems with Lab (4) Dr. Honggang Zhang Engineering Department University of Massachusetts Boston 1 Introduction Hardware description language (HDL): Specifies logic function only Computer-aided

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

HIGH-LEVEL SYNTHESIS

HIGH-LEVEL SYNTHESIS HIGH-LEVEL SYNTHESIS Page 1 HIGH-LEVEL SYNTHESIS High-level synthesis: the automatic addition of structural information to a design described by an algorithm. BEHAVIORAL D. STRUCTURAL D. Systems Algorithms

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Building Memory

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Building Memory Computer Science 324 Computer rchitecture Mount Holyoke College Fall 2007 Topic Notes: Building Memory We ll next look at how we can use the devices we ve been looking at to construct memory. Tristate

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Justin Hernandez SA837/CORP/GSG ZAS37/justin.hernandez@motorola.com Philip Giangarra RU433/SPS/NCSG

More information

Chapter 13 Programmable Logic Device Architectures

Chapter 13 Programmable Logic Device Architectures Chapter 13 Programmable Logic Device Architectures Chapter 13 Objectives Selected areas covered in this chapter: Describing different categories of digital system devices. Describing different types of

More information

Digital Systems Testing

Digital Systems Testing Digital Systems Testing Verilog HDL for Design and Test Moslem Amiri, Václav Přenosil Embedded Systems Laboratory Faculty of Informatics, Masaryk University Brno, Czech Republic amiri@mail.muni.cz prenosil@fi.muni.cz

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

High-Level Testability Analysis and Enhancement Techniques

High-Level Testability Analysis and Enhancement Techniques Linköping Studies in Science and Technology Thesis No 725 High-Level Testability Analysis and Enhancement Techniques by Erik Larsson Submitted to the School of Engineering at Linköping University in partial

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Delay and Optimization of Random Number Generator

Delay and Optimization of Random Number Generator International Journal of Scientific and Research Publications, Volume 2, Issue 3, March 2012 1 Delay and Optimization of Random Number Generator Atul Kumar Dewangan 1, Nibedita Chakraborty 2, Smriti Dewangan

More information

Hardware Acceleration

Hardware Acceleration Hardware Acceleration Sungho Kang Yonsei University Outline Introduction Boeing TEGAS Yorktown Simulation Engine Logic Simulation Machine HAL ZYCAD AAP-1 Reconfigurable 2 Why Simulation Engine Speed up

More information