COARSE ANGLE ROTATION MODE CORDIC BASED SINGLE PROCESSING ELEMENT QR-RLS PROCESSOR

Size: px
Start display at page:

Download "COARSE ANGLE ROTATION MODE CORDIC BASED SINGLE PROCESSING ELEMENT QR-RLS PROCESSOR"

Transcription

1 7th Europea Sigal Processig Coferece (EUSIPCO 9 Glasgow, Scotlad, August 4-8, 9 COARSE ANGLE ROTATION MODE CORDIC BASED SINGLE PROCESSING ELEMENT QR-RLS PROCESSOR Qiag Gao, Louise Crockett ad Robert Stewart EEE Departmet, Uiversity of Strathclyde, 4 George Street, Glasgow, G XW, Scotlad, UK phoe: + ( , fax: + ( , qiag.gao@eee.strath.ac.uk ABSTRACT Over the last years Digital Sigal Processig algorithm implemetatio has bee drive by the cotiued progress ad availability of high speed ASIC circuit techology. The classic method of CORDIC (Coordiate Rotatio by Digital Computer arithmetic has bee widely implemeted as part of the computatioal requiremets of the well kow QR- RLS (recursive least squares algorithm. I this paper we propose a ew modified versio of the CORDIC that features a sigle processor elemet that is easily pipeliable ad ca be used to implemet both the Gives geeratios ad Gives rotatios associated with the QR update. Usig a Xilix FPGA for implemetato results show that this proposed structure requires less resources ad produces a more regular ad therefore lower cost structure tha other equivalet methods recetly preseted.. INTRODUCTION Withi the area of wireless commuicatios, the icreasig commuicatios speeds required meas that there is sustaied demad for high speed implemetatios of data equalisers, adaptive beamformers, beamsteerers ad MIMO (multiple iput multiple output atea systems. The key algorithm to implemet these applicatios of course the least squares adaptive sigal processig techique []. Over recet years, the favoured method of high speed (parallel implemetatio has bee the QR Decompositio (QRD- RLS algorithm [] give its fast covergece, ad compared to other methods of solvig the least squares equatios, its excellet umerical coditioig []. Furthermore from a implemetatio poit of view, the QR is very well kow for its triagular systolic type array implemetatio [] [4]. The structure of this paper is orgaised as follows. I Sectio we review the stadard QR triagular array. I Sectio, the systolic array implemetatio of QR-RLS with dowdatig is briefly reviewed. I Sectio 4, the covetioal CORDIC algorithm based QR-RLS processig elemet is preseted. A ew Coarse Agle Rotatio Mode CORDIC architecture is elaborated i Sectio 5 to replace the oe covetioally used i all the Processig Elemets (PEs of the QR-RLS array. The desig of a efficiet chael iterleavig CORDIC is preseted i Sectio 6. Fially Sectio 7 proposes oe ew sigle PE QR-RLS architecture to replace the existig liear array [5][6]. EURASIP, THE CLASSIC QR TRIANGULAR ARRAY Based o [] ad [], the stadard QR-RLS recursive algorithm is summarized as: Q R( k λ u( k R u x T ( k dk ( ak ( ( Where Q is the orthogoal rotatio matrix, which triagularises the left had side matrix of Eq. (, λ is the forgettig factor (set to a value less tha, ad ak ( is the posteriori Least-Square residual. The N N matrix R is the well kow correlatio matrix, ad the N elemet vector x is the iput data vector. N is the umber of weight the adaptive filter []. Figure shows a simple sigal flow graph (SFG represetatio of the well kow stadard QR-RLS systolic array [7] aimig to fid the weights of a N weight FIR filter (this small dimesio is used to keep the SFG diagrams simple, comparig the iput sigal xk ( with the desired sigal dk ( with the aim of miimisig the power of the error sigal ek ( dk ( xk ( []. This array uses the Gives rotatio to covert the iput data ito a upper triagular matrix R []. Each stored ad updated iside of the array is oe elemet of this upper triagular matrix, where the subscript (i,j represets the locatio of the elemet i R matrix ad u vector [4]. x represets the iput sigal. The defiitios of the Boudary Cell (BC ad Iteral Cell (IC of QR-RLS array are also described i Figure. s ad c are used to express the Gives rotatio values (ofte deoted Sie & Cosie calculated with i a boudary cell. The right most colum geerates the product of the cosies γ. Note that this operatio is ormally udertake i the BCs ([] [] [4] [5] [6]. However by attachig the right most colum of ICs [8], it becomes possible to costruct the BC ad IC with very similar architectures, which ca be exploited with the efficiet foldig of both types of cell oto a sigle PE (to be discussed i Sectio 7. The posteriori error ek ( ca be foud from the multiplier []. I may implemetatios ([5], [9] the fial coefficiet weight vector is derived from the outputs of the QR decompositio algorithm usig backsubstitutio. To implemet a systolic structure for backsubstitutio, oe approach is to apped a liear array to the upper triagular

2 x(k d(k x(k d(k A r r r u 4 γ 5 r - 6 r r (a Boudary Cell (Gives Geeratio x bc if x bc, the r c i c i ; else c i r ( ij + x bc x bc r ( ij + x bc ( k + λ (b Iteral Cell (Gives Rotatio c i x ic c i ( ( + x bc r ij k x ic + λ c i R ij c i x ic λ u 4 u 4 e(k Figure - Stadard systolic array of QR-RLS γ 5 γ 5 : Delay : Multiplier r - 6 r - 7 W 46 W 47 W 48 B e(k W W W (d Dowdatig Cell (e Weight Extractio Cell x dc b i c i c i a i a w i r - ij ij W ij c i x ic λ x ic λ + c i W ij W ij ( k a i b i Figure - Systolic array of QR-RLS with dowdatig r - 6 r - 7 r - 8 QR-RLS array [5] [9]. However it is worth otig that whereas the QR triagularisatio method has excellet umerical properties ad is hece the favoured method for fixed poit implemetatio, the procedure of backsubstitutio is kow to be a process that is ot umerically well coditioed []. Aother issue with the regular implemetatio of the QR array is that it is ot easily iterfaced to the backsubstitutio array, which is a liear array that requires the R values to be first shifted out from the QR array [5]. Also, the data throughput of the liear array is lower tha that of the triagular array. Therefore i geeral the backsubstitutio operatio is ot well suited for a FPGA dataflow array (ad is usually performed o a embedded processor [5] [9]. The exteded QR-RLS (QR-RLS with dowdatig algorithm derived for weight extractio could mitigate the eed for the backsubstitutio by addig a secod lower triagular dowdatig array such that the fial adaptive filter weights ca the be extracted by a sigle multiplicatio ad subtractio operatio [] [].. QR-RLS ARRAY WITH DOWNDATING The QR-RLS with dowdatig derived for weight extractio ca be implemeted with a CORDIC-based double triagular systolic array [] []. The additioal dowdatig part must satisfy the followig equatio []: Q λ R( k λ u( k λ R ( k x T ( k dk ( R u R ak ( bk ( ( A example of this QR-RLS array with dowdatig is show i Figure. The triagular sectio o the left of dashed lie A-B, cosists of the same BCs ad ICs as Figure. The lower triagular (dowdatig sectio (o the right had side of lie A-B rotates the R matrix stored i the cells ad a exterally applied vector of zeros. Obviously, both the IC Figure ad the Dowdatig Cell (DC i Figure execute 8 early the same operatio. The oly differece is that the forgettig factor i each DC is equal to /λ. Hece the DC could also be mapped together with the IC ad BC, ad this foldig strategy will be described i Sectio 7. At this poit we should poit out that the use of a QR rhomboid array is well kow to icrease the arithmetic wordlegth compared to the stadard QR triagular array. This ca be simply observed for example by otig that for iitialisatio, the iitial values of the stadard QR upper triagular array are set to very small values, say σ, ad therefore equivaletly the iitial value the lower triagular dowdatig array, must be set to σ. However the objective of this paper is ot to review the umerical properties, but to derive a uiquely regular high speed QR array. 4. CORDIC QR BOUNDARY & INTERNAL CELLS Last sectio metioed that both the boudary ad iteral cellside the systolic QR-RLS array are based o the Gives rotatio. Oe low complexity techique that performs the Gives rotatio is the CORDIC algorithm. It is a iterative method which is used to calculate may trigoometric ad algebraic fuctios [] []. CORDIC ca be implemeted usig oly shift ad add operatios, ad hece result a efficiet hardware desig. For oe CORDIC iterative idex, i, the rotatio equatios are show i Figure (a: x i + x i d i ( i y i y i z i + y i + d i ( i x i + z i d i ( ata i (a ( x i y i d z i i >>i >>i cost x i + y i + (b z i + Figure - (a Classic CORDIC iterative algorithm (b The hardware implemetatio for sigle iteratio elemet

3 Vectorig Mode CORDIC Iteratio Cells (Boudary Cell w Agle Decisio Factor d -, -, o -6.6 o +4 o -45 o -6.6 o +4o Rotatio Mode CORDIC Iteratio Cells (Iteral or Dowdatig Celll / / Figure 4 - Itercoectio betwee the BC ad IC/DC i [] Note that the umber of iteratios of i is a fuctio of the desired umerical accuracy [4]. If the vector is rotated clockwise, the decisio factor d should equal to -, otherwise, d. The hardware architecture of the classical sigle iteratio elemet is show i Figure (b. Each boudary cell of the QR array requires the vectorig mode CORDIC where Eq. ( implemeted with the ext agle iteratio chose i order to drive y towards zero. The iteral cell uses the rotatio mode CORDIC where the d (rotatio directio is chose as a fuctio of whether agle z is egative or positive as a result of the last iteratio. As show i Figure 4, we assume both the boudary ad iteral cells have 8 iteratio cells, ad each cell has the architecture i Figure (b. The umber of iteratio cells depeds o the desired umerical accuracy [4]. The classic vectorig mode CORDIC geerates the agle z, ad the rotatio mode performs the Gives rotatio for the same agle (Figure 5. y -z x Boudary Cell (Vector Mode z Iteral Cell (Rotatio Mode Figure 5 - Lik betwee the classic QR-RLS BC ad IC/DC 5. COARSE ANGLE ROTATION MODE CORDIC Accordig to the circuit implemetatio, based o Eq. (, we eed to build three subcircuits for x, y ad z computatios. Oe of the core outputs of this paper is to fid a commo structure to allow the same array to be easily shared for the various boudary ad iteral cells. The x ad y parts are always ecessary for the Gives rotatio operatio. I the followig part of this paper, a advaced CORDIC/QR architecture is proposed i which the z compoet of Eq. ( ca i fact be discarded. As a alterative to calculatig the agle z for the Gives rotatio, we could i fact just pass the coarse agle rotatio iformatio d, i.e a or a -; give the agle used i the boudary is that same as the agle used i the iteral cells the sequece of the d directios absolutely the same. I the ext sectio we will demostrate the structure of a ew CORDIC based QR boudary ad iteral cells which are -z Boudary Cell (Vector Mode Iteral Cell (Rotatio Mode Figure 6 - Sigal trasfer betwee QR boudary ad iteral cells Vectorig Mode CORDIC Iteratio Cells d d d d d 4 d 5 d 6 Rotatio Mode CORDIC Iteratio Cells Figure 7 - Itercoectio betwee the ew BC ad IC/DC simplified based o this observatio. I Figure 6, durig the first rotatio iteratio, the vector iput to the boudary cell will be rotated by 45 O clockwise, ad the geerated d is -. Whe trasferred to the iteral cell, d is simply used to geerate the required 45 O rotatio. After three CORDIC iteratios (ad therefore three d values passed from boudary to iteral move to before the bracketed bit, we fid that the iitial vectors have bee rotated by the required agle. For a boudary cell, the total rotated agle is O, ad for iteral cell, it remais the same. Clearly as with the classic CORDIC the more iteratios udertake, the more accurate the agle of rotatio. Therefore it is possible to perform Gives rotatios of the same agle i differet cell a QR array without explicitly computig the agle, but rather commuicatig the directio d of the various CORDIC coarse agle values. As will be justified ad show ext this leads to hardware resource reductio ad produces a more regular array. The itercoectio of ew vectorig ad rotatio CORDICs is show i Figure 7. The top row of the CORDIC iteratio cells still represets the BC of the covetioal QR-RLS array, ad the bottom row of iteratio cells could be cosidered as either oe IC or DC. The lik betwee both modes CORDIC is the decisio factor d of each iteratio. Now the iteratio cell either boudary (BC or iteral (IC/dowdatig (DC PEs pricipally requires two bitshifters ad two adder/subtractors to calculate the x ad y i Eq. (, hece the BC ad IC/DC proposed above ca be easily mapped oto a sigle PE architecture by applyig this foldig techique. 5. COMPARISION WITH THE CLASSIC CORDICS We ca ow compare the sythesis results from the ew CORDIC (Coarse Cordic Gives based 6-iteratio ad 8- bit wordlegth BC ad IC with the correspodig PEs usig d 7 8

4 Type PE Slices FFs LUTs DSP48 BRAM Stadard Cordic Gives Coarse Agle Cordic Gives Stadard Cordic Lookup Boudary Iteral Boudary Iteral Boudary Figure 8 - Sythesis results for types of CORDIC based BC/ICs (6-iteratio & 8-bit wordlegth the covetioal architecture (Stadard Cordic Gives demostrated i Figure 4. For this compariso, real arithmetic arrays were compared. The FPGA resource utilizatios are listed i Figure 8. Xilix ISE. is used to target a Virtex-4 xc4vsx5-ff668 device. The ew proposed Coarse Agle Rotatio Mode CORDIC saves.5% hardware resources compared to the classic oe i [], for both the BC ad ICs. Result Figure 8 also show that the ew Coarse Agle Rotatio Mode CORDIC based BC uses early the same amout of resources as the IC, due to their similarity. Vectorig Mode CORDIC Iteratio Cells Sie Cosie LUT Agle Gives Rotatio Cell Figure 9 - Itercoectio betwee the [5] based real BC ad IC A alterative architecture (Stadard Cordic Lookup adopted i [5] is show i Figure 9. The boudary cells of the QR-RLS array i [5] use the stadard CORDIC architecture which ivolves the agle z calculatio compoet. Oe additioal LookUp Table (LUT is applied to covert each geerated z to its correspodig Sie ad Cosie values. With these trigoometric fuctios as the iputs, the IC/DC ca perform a Gives rotatio usig oly multiply ad add operatios. Here the wordlegth ad umber of CORDIC iteratios are still 8 bits ad 6 respectively. A architecture for real Give's rotatios (based o that preseted i [5] for complex umbers was costructed ad compared with the architecture preseted i this paper. Its sythesised resource utilizatio is also show i Figure 8. The hardware cost of the boudary cell based of this structure is larger (56% tha that of our proposed boudary cell. This desig also uses the Virtex-4's arithmetic elemets (DSP48 slices. The critical advatage of the coarse agle rotatio mode CORDIC based BC ad IC/DC is that they could be mapped oto a sigle processig elemet, which may result i the implemetatio of a efficiet, pipelied QR-RLS couter Pipelied CORDIC *f s couter Figure - Chael Iterleavig processor. I the ext Sectio, the cocept of sigle PE QR- RLS processor will start to be itroduced. 6. EFFICIENT CHANNEL INTERLEAVING CORDIC For maximum efficiecy a pipelied ad parallel CORDIC architecture should be implemeted. If we just assume that there are oly 4 CORDIC iteratio cells used i all three types of PE our QR-RLS array, based o the sythesis result uder the same devices ad wordlegth i Sectio 5., the clock rate of the pipelied Coarse Agle Rotatio Mode CORDIC ca reach up to MHz, ad hece with the Chael Iterleavig architecture i Figure, the data rate of up to Msamples/sec ca be supported. The Chael Iterleavig based pipelied CORDIC i Figure offers a low cost hardware solutio, which allows the work of several CORDICs to be doe by a sigle cell. However, uless iput chaels exist to fill pipelie stages the there will still be some redudacy [5]. Hece the efficiecy show here is of course a special case of multichaels beig available. Recall that the critical advatage of the ew BC ad IC is that they could be mapped oto a sigle PE without a large icremet of resourse ultilizatio. The sythesis results for this sigle PE architecture (which adopts the same wordlegth ad iteratio umber with that i Figure 8 is listed i Figure. PE Slices FFs LUTs DSP48 BRAM Sigle PE Figure - Sythesis result for the sigle PE based BC ad IC sharig Comparig above sythesis results with that i Figure 8, the cost of sigle PE which maps the BC ad IC together is % less tha the utilizatio of oe BC based o [5]. Furthermore the BC ad IC i [5] have totally differet architectures. Hece by adoptig the Coarse Agle Rotatio Mode CORDIC, the Chael Iterleavig based sigle PE architecture has the advatage of reduced cost compared to other existig techiques. 7. CHANNEL INTERLEAVING CORDIC QR-RLS To traslate the previous QR desig to a sigle Processig Elemet (PE architecture, firstly, the exteded QR-RLS structure show i Figure must be trasferred to the sigle row rolled architecture, i.e fold all the row the dashed rigs to oe liear array [5] [6]. At this poit, the data rate reduces to CLK/, where represets the umber of rows. 8

5 x(k d(k A Figure - Liear QR-RLS array trasformatio couter Pipelied CORDIC *f s Figure - Chael iterleavig for multi PEs per row The the ext step i the trasformatio is combiig all the PE the liear array by the space-time sharig. I Figure, the data streams processed by the colums of cells could be cosidered as separate chaels, ad therefore the chael iterleavig scheme we discussed above could be adopted here. This would allow the row of cells to be mapped oto a sigle, chael iterleaved CORDIC cell, as show i Figure. While the work i [5] cosiders the efficiet realisatio of boudary ad iteral cells as discrete processig blocks, this paper focuses o geeralisig the architecture of the boudary ad iteral cells, such that they ca be folded oto a sigle processig elemet. The foldig operatio o the iteral cells without applyig the chael iterleavig will result i further decrease of desig s data rate. Oe poit that eeds to be metioed is: the size of QR-RLS array depeds o the iteratio umber of CORDIC iside each PE. Whe CORDIC has 6 iteratios, the size of QR- RLS could ot exceed CONCLUSIONS I this paper we have proposed ad aalysed the Coarse Agle Rotatio Mode CORDIC. By comparig with two types of covetioal CORDIC based boudary ad iteral cells, it is obvious that the ew CORDIC has low cost. By usig the Chael Iterleavig CORDIC to multiplex more tha oe data chaels together, the pipelied architecture ca be filled up efficietly. The maximum clock speed ca B couter remai the same idepedet of the umber of multiplexed chaels. This paper has proposed a method to map the stadard QR-RLS array with dowdatig oto a sigle processig elemet architecture. The Chael Iterleavig CORDIC based sigle PE QR-RLS processor combies low hardware cosumptio with the beefit of scalability, especially whe implemetig very large matrix sizes. The achieved data rate is reduced to / of the maximum clock rate, where represets the umber of row the origial QR-RLS array with dowdatig. 9. ACKNOWLEDGEMENT The authors would like to thak the EPSRC Specket project & Xilix XUP for support i this research work.. REFERENCES [] S Hayki. Adaptive Filter Theory. Pretice Hall, editio,996. ISBN [] G H Golub, C F. Va Loa, Matrix Computatios, Editio:, Johs Hopkis Uiversity Press, 996, ISBN [] J G McWhirter, Systolic array for recursive least-squares miimisatio, Electroics Letters, Volume: 9, Issue: 8, pp: 79-7, Sept. 98 [4] R Woods, J McAllister, Y Yi, G Lightbody, FPGA-based Implemetatio of Sigal Processig Systems, Wiley, 999 [5] C Dick, F Harris, M Pajic ad D Vuletic, Real-Time QRD- Based Beamformig o a FPGA Platform Fortieth Asilomar Coferece o Sigals, Systems ad Computers, pp. - 4, Oct Nov. 6 [6] QietiQ Quixilica Floatig-Poit QR Processor Core Data Sheet, qx_qr.pdf [7] W M Getlema ad H T Kug, Matrix Triagularizatio by Systolic Arrays, Real-Time Sigal Processig IV, Proc. SPIE, Volume 98, 9-6. [8] J Ma, K K. Parhi ad Ed F. Deprettere, Aihilatio-Reorderig Look-Ahead Pipelied CORDIC-Based RLS Adaptive Filters ad Their Applicatio to Adaptive Beamformig, IEEE Trasactios o Sigal Processig, Vol. 48, NO. 8, Aug [9] Altera White Paper, Implemetatio of CORDIC-Based QRD-RLS Algorithm o Altera Stratix FPGA with Embedded Nios Soft Processor Techology, [] Bi Yag, Joha F. Bohme, "Rotatio-based RLS algorithm uified derivatio, umerical properties, ad parallel implemetatio," IEEE Tras. o Sigal Processig, vol. 4, o.5, pp. 5-67, May 99. [] M Harteeck, R W Stewart, J G McWhirter, I K Proudler, Algorithmic Egieerig Applied to the QR-RLS Adaptive Algorithm, Proceedigs of 4 th Iteratioal Coferece o Mathematic Sigal Processig, 996 [] J. E. Volder, The cordic trigoometric computig techique, IRE Tras. Electroic Computers, vol., pp. 4, Sept [] R Adraka, A survey of CORDIC algorithms for FPGA based computers, Proceedigs of the 998 ACM/SIGDA sixth iteratioal symposium o Field Programmable Gate Arrays, pp. 9-, Feb. -4, 998 [4] Y. H. Hu, The Quatizatio Effects of the CORDIC Algorithm, IEEE Tras. O Sigal Processig, Vol 4, No 4, pp , 99 [5] K. K Parhi, VLSI Digital Sigal Processig Systems: Desig ad Implemetatio, Wiley-Itersciece, 999 d 8

Chapter 3 Classification of FFT Processor Algorithms

Chapter 3 Classification of FFT Processor Algorithms Chapter Classificatio of FFT Processor Algorithms The computatioal complexity of the Discrete Fourier trasform (DFT) is very high. It requires () 2 complex multiplicatios ad () complex additios [5]. As

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

MOST of the advanced signal processing algorithms are

MOST of the advanced signal processing algorithms are A edited versio of this work was publiched i IEEE TRANS. ON CIRCUITS AND SYSTEMS II, VOL. 6, NO. 9, SEPT 05 DOI:0.09/TCSII.05.35753 High-Throughput FPGA Implemetatio of QR Decompositio Sergio D. Muñoz

More information

LU Decomposition Method

LU Decomposition Method SOLUTION OF SIMULTANEOUS LINEAR EQUATIONS LU Decompositio Method Jamie Traha, Autar Kaw, Kevi Marti Uiversity of South Florida Uited States of America kaw@eg.usf.edu http://umericalmethods.eg.usf.edu Itroductio

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

A Note on Least-norm Solution of Global WireWarping

A Note on Least-norm Solution of Global WireWarping A Note o Least-orm Solutio of Global WireWarpig Charlie C. L. Wag Departmet of Mechaical ad Automatio Egieerig The Chiese Uiversity of Hog Kog Shati, N.T., Hog Kog E-mail: cwag@mae.cuhk.edu.hk Abstract

More information

AN OPTIMIZATION NETWORK FOR MATRIX INVERSION

AN OPTIMIZATION NETWORK FOR MATRIX INVERSION 397 AN OPTIMIZATION NETWORK FOR MATRIX INVERSION Ju-Seog Jag, S~ Youg Lee, ad Sag-Yug Shi Korea Advaced Istitute of Sciece ad Techology, P.O. Box 150, Cheogryag, Seoul, Korea ABSTRACT Iverse matrix calculatio

More information

A Study on the Performance of Cholesky-Factorization using MPI

A Study on the Performance of Cholesky-Factorization using MPI A Study o the Performace of Cholesky-Factorizatio usig MPI Ha S. Kim Scott B. Bade Departmet of Computer Sciece ad Egieerig Uiversity of Califoria Sa Diego {hskim, bade}@cs.ucsd.edu Abstract Cholesky-factorizatio

More information

1. SWITCHING FUNDAMENTALS

1. SWITCHING FUNDAMENTALS . SWITCING FUNDMENTLS Switchig is the provisio of a o-demad coectio betwee two ed poits. Two distict switchig techiques are employed i commuicatio etwors-- circuit switchig ad pacet switchig. Circuit switchig

More information

Lecture 1: Introduction and Strassen s Algorithm

Lecture 1: Introduction and Strassen s Algorithm 5-750: Graduate Algorithms Jauary 7, 08 Lecture : Itroductio ad Strasse s Algorithm Lecturer: Gary Miller Scribe: Robert Parker Itroductio Machie models I this class, we will primarily use the Radom Access

More information

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits Egieerig Letters, :, EL Reversible Realizatio of Quaterary Decoder, Multiplexer, ad Demultiplexer Circuits Mozammel H.. Kha, Member, ENG bstract quaterary reversible circuit is more compact tha the correspodig

More information

The Closest Line to a Data Set in the Plane. David Gurney Southeastern Louisiana University Hammond, Louisiana

The Closest Line to a Data Set in the Plane. David Gurney Southeastern Louisiana University Hammond, Louisiana The Closest Lie to a Data Set i the Plae David Gurey Southeaster Louisiaa Uiversity Hammod, Louisiaa ABSTRACT This paper looks at three differet measures of distace betwee a lie ad a data set i the plae:

More information

3D Model Retrieval Method Based on Sample Prediction

3D Model Retrieval Method Based on Sample Prediction 20 Iteratioal Coferece o Computer Commuicatio ad Maagemet Proc.of CSIT vol.5 (20) (20) IACSIT Press, Sigapore 3D Model Retrieval Method Based o Sample Predictio Qigche Zhag, Ya Tag* School of Computer

More information

FPGA IMPLEMENTATION OF BASE-N LOGARITHM. Salvador E. Tropea

FPGA IMPLEMENTATION OF BASE-N LOGARITHM. Salvador E. Tropea FPGA IMPLEMENTATION OF BASE-N LOGARITHM Salvador E. Tropea Electróica e Iformática Istituto Nacioal de Tecología Idustrial Bueos Aires, Argetia email: salvador@iti.gov.ar ABSTRACT I this work, we preset

More information

Fuzzy Minimal Solution of Dual Fully Fuzzy Matrix Equations

Fuzzy Minimal Solution of Dual Fully Fuzzy Matrix Equations Iteratioal Coferece o Applied Mathematics, Simulatio ad Modellig (AMSM 2016) Fuzzy Miimal Solutio of Dual Fully Fuzzy Matrix Equatios Dequa Shag1 ad Xiaobi Guo2,* 1 Sciece Courses eachig Departmet, Gasu

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime,

More information

Improving Template Based Spike Detection

Improving Template Based Spike Detection Improvig Template Based Spike Detectio Kirk Smith, Member - IEEE Portlad State Uiversity petra@ee.pdx.edu Abstract Template matchig algorithms like SSE, Covolutio ad Maximum Likelihood are well kow for

More information

Pattern Recognition Systems Lab 1 Least Mean Squares

Pattern Recognition Systems Lab 1 Least Mean Squares Patter Recogitio Systems Lab 1 Least Mea Squares 1. Objectives This laboratory work itroduces the OpeCV-based framework used throughout the course. I this assigmet a lie is fitted to a set of poits usig

More information

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels:

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels: DBE Memo#1 MARK 5 MEMO #18 MASSACHUSETTS INSTITUTE OF TECHNOLOGY HAYSTACK OBSERVATORY WESTFORD, MASSACHUSETTS 1886 November 19, 24 Telephoe: 978-692-4764 Fax: 781-981-59 To: From: Mark 5 Developmet Group

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

Fast Fourier Transform (FFT) Algorithms

Fast Fourier Transform (FFT) Algorithms Fast Fourier Trasform FFT Algorithms Relatio to the z-trasform elsewhere, ozero, z x z X x [ ] 2 ~ elsewhere,, ~ e j x X x x π j e z z X X π 2 ~ The DFS X represets evely spaced samples of the z- trasform

More information

GPUMP: a Multiple-Precision Integer Library for GPUs

GPUMP: a Multiple-Precision Integer Library for GPUs GPUMP: a Multiple-Precisio Iteger Library for GPUs Kaiyog Zhao ad Xiaowe Chu Departmet of Computer Sciece, Hog Kog Baptist Uiversity Hog Kog, P. R. Chia Email: {kyzhao, chxw}@comp.hkbu.edu.hk Abstract

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

Alpha Individual Solutions MAΘ National Convention 2013

Alpha Individual Solutions MAΘ National Convention 2013 Alpha Idividual Solutios MAΘ Natioal Covetio 0 Aswers:. D. A. C 4. D 5. C 6. B 7. A 8. C 9. D 0. B. B. A. D 4. C 5. A 6. C 7. B 8. A 9. A 0. C. E. B. D 4. C 5. A 6. D 7. B 8. C 9. D 0. B TB. 570 TB. 5

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 18 Strategies for Query Processig Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio DBMS techiques to process a query Scaer idetifies

More information

EE123 Digital Signal Processing

EE123 Digital Signal Processing Last Time EE Digital Sigal Processig Lecture 7 Block Covolutio, Overlap ad Add, FFT Discrete Fourier Trasform Properties of the Liear covolutio through circular Today Liear covolutio with Overlap ad add

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

Lecture 18. Optimization in n dimensions

Lecture 18. Optimization in n dimensions Lecture 8 Optimizatio i dimesios Itroductio We ow cosider the problem of miimizig a sigle scalar fuctio of variables, f x, where x=[ x, x,, x ]T. The D case ca be visualized as fidig the lowest poit of

More information

BOOLEAN MATHEMATICS: GENERAL THEORY

BOOLEAN MATHEMATICS: GENERAL THEORY CHAPTER 3 BOOLEAN MATHEMATICS: GENERAL THEORY 3.1 ISOMORPHIC PROPERTIES The ame Boolea Arithmetic was chose because it was discovered that literal Boolea Algebra could have a isomorphic umerical aspect.

More information

A Very Simple Approach for 3-D to 2-D Mapping

A Very Simple Approach for 3-D to 2-D Mapping A Very Simple Approach for -D to -D appig Sadipa Dey (1 Ajith Abraham ( Sugata Sayal ( Sadipa Dey (1 Ashi Software Private Limited INFINITY Tower II 10 th Floor Plot No. - 4. Block GP Salt Lake Electroics

More information

Appendix A. Use of Operators in ARPS

Appendix A. Use of Operators in ARPS A Appedix A. Use of Operators i ARPS The methodology for solvig the equatios of hydrodyamics i either differetial or itegral form usig grid-poit techiques (fiite differece, fiite volume, fiite elemet)

More information

New Fuzzy Color Clustering Algorithm Based on hsl Similarity

New Fuzzy Color Clustering Algorithm Based on hsl Similarity IFSA-EUSFLAT 009 New Fuzzy Color Clusterig Algorithm Based o hsl Similarity Vasile Ptracu Departmet of Iformatics Techology Tarom Compay Bucharest Romaia Email: patrascu.v@gmail.com Abstract I this paper

More information

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization Ed Semester Examiatio 2013-14 CSE, III Yr. (I Sem), 30002: Computer Orgaizatio Istructios: GROUP -A 1. Write the questio paper group (A, B, C, D), o frot page top of aswer book, as per what is metioed

More information

The golden search method: Question 1

The golden search method: Question 1 1. Golde Sectio Search for the Mode of a Fuctio The golde search method: Questio 1 Suppose the last pair of poits at which we have a fuctio evaluatio is x(), y(). The accordig to the method, If f(x())

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

Image Segmentation EEE 508

Image Segmentation EEE 508 Image Segmetatio Objective: to determie (etract) object boudaries. It is a process of partitioig a image ito distict regios by groupig together eighborig piels based o some predefied similarity criterio.

More information

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis IOSR Joural of Egieerig Redudacy Allocatio for Series Parallel Systems with Multiple Costraits ad Sesitivity Aalysis S. V. Suresh Babu, D.Maheswar 2, G. Ragaath 3 Y.Viaya Kumar d G.Sakaraiah e (Mechaical

More information

BASED ON ITERATIVE ERROR-CORRECTION

BASED ON ITERATIVE ERROR-CORRECTION A COHPARISO OF CRYPTAALYTIC PRICIPLES BASED O ITERATIVE ERROR-CORRECTIO Miodrag J. MihaljeviC ad Jova Dj. GoliC Istitute of Applied Mathematics ad Electroics. Belgrade School of Electrical Egieerig. Uiversity

More information

Solving Fuzzy Assignment Problem Using Fourier Elimination Method

Solving Fuzzy Assignment Problem Using Fourier Elimination Method Global Joural of Pure ad Applied Mathematics. ISSN 0973-768 Volume 3, Number 2 (207), pp. 453-462 Research Idia Publicatios http://www.ripublicatio.com Solvig Fuzzy Assigmet Problem Usig Fourier Elimiatio

More information

Improving Information Retrieval System Security via an Optimal Maximal Coding Scheme

Improving Information Retrieval System Security via an Optimal Maximal Coding Scheme Improvig Iformatio Retrieval System Security via a Optimal Maximal Codig Scheme Dogyag Log Departmet of Computer Sciece, City Uiversity of Hog Kog, 8 Tat Chee Aveue Kowloo, Hog Kog SAR, PRC dylog@cs.cityu.edu.hk

More information

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM MATEC Web of Cofereces 79, 01014 (016) DOI: 10.1051/ mateccof/0167901014 T 016 BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM Staislav Shidlovskiy 1, 1 Natioal Research

More information

A Modified Multiband U Shaped and Microcontroller Shaped Fractal Antenna

A Modified Multiband U Shaped and Microcontroller Shaped Fractal Antenna al Joural o Recet ad Iovatio Treds i Computig ad Commuicatio ISSN: 221-8169 A Modified Multibad U Shaped ad Microcotroller Shaped Fractal Atea Shweta Goyal 1, Yogedra Kumar Katiyar 2 1 M.tech Scholar,

More information

ANN WHICH COVERS MLP AND RBF

ANN WHICH COVERS MLP AND RBF ANN WHICH COVERS MLP AND RBF Josef Boští, Jaromír Kual Faculty of Nuclear Scieces ad Physical Egieerig, CTU i Prague Departmet of Software Egieerig Abstract Two basic types of artificial eural etwors Multi

More information

How do we evaluate algorithms?

How do we evaluate algorithms? F2 Readig referece: chapter 2 + slides Algorithm complexity Big O ad big Ω To calculate ruig time Aalysis of recursive Algorithms Next time: Litterature: slides mostly The first Algorithm desig methods:

More information

A Generalized Set Theoretic Approach for Time and Space Complexity Analysis of Algorithms and Functions

A Generalized Set Theoretic Approach for Time and Space Complexity Analysis of Algorithms and Functions Proceedigs of the 10th WSEAS Iteratioal Coferece o APPLIED MATHEMATICS, Dallas, Texas, USA, November 1-3, 2006 316 A Geeralized Set Theoretic Approach for Time ad Space Complexity Aalysis of Algorithms

More information

FINITE DIFFERENCE TIME DOMAIN METHOD (FDTD)

FINITE DIFFERENCE TIME DOMAIN METHOD (FDTD) FINIT DIFFRNC TIM DOMAIN MTOD (FDTD) The FDTD method, proposed b Yee, 1966, is aother umerical method, used widel for the solutio of M problems. It is used to solve ope-regio scatterig, radiatio, diffusio,

More information

Design of Efficient Pipelined Radix-2 2 Single Path Delay Feedback FFT

Design of Efficient Pipelined Radix-2 2 Single Path Delay Feedback FFT IOSR Joural of VLSI ad Sigal Processig IOSR-JVSP Volume Issue Ver. I May-Ju. 0 PP 88-9 e-iss: 9 00 p-iss o. : 9 97 www.iosrjourals.org Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT isha

More information

Computer Systems - HS

Computer Systems - HS What have we leared so far? Computer Systems High Level ENGG1203 2d Semester, 2017-18 Applicatios Sigals Systems & Cotrol Systems Computer & Embedded Systems Digital Logic Combiatioal Logic Sequetial Logic

More information

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem A Improved Shuffled Frog-Leapig Algorithm for Kapsack Problem Zhoufag Li, Ya Zhou, ad Peg Cheg School of Iformatio Sciece ad Egieerig Hea Uiversity of Techology ZhegZhou, Chia lzhf1978@126.com Abstract.

More information

Polynomial Functions and Models. Learning Objectives. Polynomials. P (x) = a n x n + a n 1 x n a 1 x + a 0, a n 0

Polynomial Functions and Models. Learning Objectives. Polynomials. P (x) = a n x n + a n 1 x n a 1 x + a 0, a n 0 Polyomial Fuctios ad Models 1 Learig Objectives 1. Idetify polyomial fuctios ad their degree 2. Graph polyomial fuctios usig trasformatios 3. Idetify the real zeros of a polyomial fuctio ad their multiplicity

More information

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON Roberto Lopez ad Eugeio Oñate Iteratioal Ceter for Numerical Methods i Egieerig (CIMNE) Edificio C1, Gra Capitá s/, 08034 Barceloa, Spai ABSTRACT I this work

More information

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5 Morga Kaufma Publishers 26 February, 28 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 5 Set-Associative Cache Architecture Performace Summary Whe CPU performace icreases:

More information

Filter design. 1 Design considerations: a framework. 2 Finite impulse response (FIR) filter design

Filter design. 1 Design considerations: a framework. 2 Finite impulse response (FIR) filter design Filter desig Desig cosideratios: a framework C ı p ı p H(f) Aalysis of fiite wordlegth effects: I practice oe should check that the quatisatio used i the implemetatio does ot degrade the performace of

More information

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL Automatic Geeratio of Polyomial-Basis Multipliers i GF (2 ) usig Recursive VHDL J. Nelso, G. Lai, A. Teca Abstract Multiplicatio i GF (2 ) is very commoly used i the fields of cryptography ad error correctig

More information

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions FFT Circuit Desig Outlie Applicatios of FFT i Commuicatios Fudametal FFT Algorithms FFT Circuit Desig Architectures Coclusios DAB Receiver Tuer OFDM Demodulator Chael Decoder Mpeg Audio Decoder 56/5/ 4/48

More information

Efficient Hardware Design for Implementation of Matrix Multiplication by using PPI-SO

Efficient Hardware Design for Implementation of Matrix Multiplication by using PPI-SO Efficiet Hardware Desig for Implemetatio of Matrix Multiplicatio by usig PPI-SO Shivagi Tiwari, Niti Meea Dept. of EC, IES College of Techology, Bhopal, Idia Assistat Professor, Dept. of EC, IES College

More information

COSC 1P03. Ch 7 Recursion. Introduction to Data Structures 8.1

COSC 1P03. Ch 7 Recursion. Introduction to Data Structures 8.1 COSC 1P03 Ch 7 Recursio Itroductio to Data Structures 8.1 COSC 1P03 Recursio Recursio I Mathematics factorial Fiboacci umbers defie ifiite set with fiite defiitio I Computer Sciece sytax rules fiite defiitio,

More information

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved.

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved. Chapter 11 Frieds, Overloaded Operators, ad Arrays i Classes Copyright 2014 Pearso Addiso-Wesley. All rights reserved. Overview 11.1 Fried Fuctios 11.2 Overloadig Operators 11.3 Arrays ad Classes 11.4

More information

Efficient Hough transform on the FPGA using DSP slices and block RAMs

Efficient Hough transform on the FPGA using DSP slices and block RAMs Efficiet Hough trasform o the FPGA usig DSP slices ad block RAMs Xi Zhou, Norihiro Tomagou, Yasuaki Ito, ad Koji Nakao Departmet of Iformatio Egieerig Hiroshima Uiversity Kagamiyama 1-4-1, Higashi Hiroshima,

More information

9.1. Sequences and Series. Sequences. What you should learn. Why you should learn it. Definition of Sequence

9.1. Sequences and Series. Sequences. What you should learn. Why you should learn it. Definition of Sequence _9.qxd // : AM Page Chapter 9 Sequeces, Series, ad Probability 9. Sequeces ad Series What you should lear Use sequece otatio to write the terms of sequeces. Use factorial otatio. Use summatio otatio to

More information

APPLICATION NOTE. Automated Gain Flattening. 1. Experimental Setup. Scope and Overview

APPLICATION NOTE. Automated Gain Flattening. 1. Experimental Setup. Scope and Overview APPLICATION NOTE Automated Gai Flatteig Scope ad Overview A flat optical power spectrum is essetial for optical telecommuicatio sigals. This stems from a eed to balace the chael powers across large distaces.

More information

Counting the Number of Minimum Roman Dominating Functions of a Graph

Counting the Number of Minimum Roman Dominating Functions of a Graph Coutig the Number of Miimum Roma Domiatig Fuctios of a Graph SHI ZHENG ad KOH KHEE MENG, Natioal Uiversity of Sigapore We provide two algorithms coutig the umber of miimum Roma domiatig fuctios of a graph

More information

Matrix representation of a solution of a combinatorial problem of the group theory

Matrix representation of a solution of a combinatorial problem of the group theory Matrix represetatio of a solutio of a combiatorial problem of the group theory Krasimir Yordzhev, Lilyaa Totia Faculty of Mathematics ad Natural Scieces South-West Uiversity 66 Iva Mihailov Str, 2700 Blagoevgrad,

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO

DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO Sagwo Seo, Trevor Mudge Advaced Computer Architecture Laboratory Uiversity of Michiga at A Arbor {swseo, tm}@umich.edu Yumig Zhu, Chaitali

More information

Algorithms for Disk Covering Problems with the Most Points

Algorithms for Disk Covering Problems with the Most Points Algorithms for Disk Coverig Problems with the Most Poits Bi Xiao Departmet of Computig Hog Kog Polytechic Uiversity Hug Hom, Kowloo, Hog Kog csbxiao@comp.polyu.edu.hk Qigfeg Zhuge, Yi He, Zili Shao, Edwi

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13 CIS Data Structures ad Algorithms with Java Sprig 08 Stacks ad Queues Moday, February / Tuesday, February Learig Goals Durig this lab, you will: Review stacks ad queues. Lear amortized ruig time aalysis

More information

New HSL Distance Based Colour Clustering Algorithm

New HSL Distance Based Colour Clustering Algorithm The 4th Midwest Artificial Itelligece ad Cogitive Scieces Coferece (MAICS 03 pp 85-9 New Albay Idiaa USA April 3-4 03 New HSL Distace Based Colour Clusterig Algorithm Vasile Patrascu Departemet of Iformatics

More information

WEBSITE STRUCTURE IMPROVEMENT USING ANT COLONY TECHNIQUE

WEBSITE STRUCTURE IMPROVEMENT USING ANT COLONY TECHNIQUE WEBSITE STRUCTURE IMPROVEMENT USING ANT COLONY TECHNIQUE Wiwik Aggraei 1, Agyl Ardi Rahmadi 1, Radityo Prasetyo Wibowo 1 1 Iformatio System Departmet, Faculty of Iformatio Techology, Istitut Tekologi Sepuluh

More information

ISSN (Print) Research Article. *Corresponding author Nengfa Hu

ISSN (Print) Research Article. *Corresponding author Nengfa Hu Scholars Joural of Egieerig ad Techology (SJET) Sch. J. Eg. Tech., 2016; 4(5):249-253 Scholars Academic ad Scietific Publisher (A Iteratioal Publisher for Academic ad Scietific Resources) www.saspublisher.com

More information

Stone Images Retrieval Based on Color Histogram

Stone Images Retrieval Based on Color Histogram Stoe Images Retrieval Based o Color Histogram Qiag Zhao, Jie Yag, Jigyi Yag, Hogxig Liu School of Iformatio Egieerig, Wuha Uiversity of Techology Wuha, Chia Abstract Stoe images color features are chose

More information

Optimized Aperiodic Concentric Ring Arrays

Optimized Aperiodic Concentric Ring Arrays 24th Aual Review of Progress i Applied Computatioal Electromagetics March 30 - April 4, 2008 - iagara Falls, Caada 2008 ACES Optimized Aperiodic Cocetric Rig Arrays Rady L Haupt The Pesylvaia State Uiversity

More information

CS 683: Advanced Design and Analysis of Algorithms

CS 683: Advanced Design and Analysis of Algorithms CS 683: Advaced Desig ad Aalysis of Algorithms Lecture 6, February 1, 2008 Lecturer: Joh Hopcroft Scribes: Shaomei Wu, Etha Feldma February 7, 2008 1 Threshold for k CNF Satisfiability I the previous lecture,

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

Accuracy Improvement in Camera Calibration

Accuracy Improvement in Camera Calibration Accuracy Improvemet i Camera Calibratio FaJie L Qi Zag ad Reihard Klette CITR, Computer Sciece Departmet The Uiversity of Aucklad Tamaki Campus, Aucklad, New Zealad fli006, qza001@ec.aucklad.ac.z r.klette@aucklad.ac.z

More information

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software Structurig Redudacy for Fault Tolerace CSE 598D: Fault Tolerat Software What do we wat to achieve? Versios Damage Assessmet Versio 1 Error Detectio Iputs Versio 2 Voter Outputs State Restoratio Cotiued

More information

Creating Exact Bezier Representations of CST Shapes. David D. Marshall. California Polytechnic State University, San Luis Obispo, CA , USA

Creating Exact Bezier Representations of CST Shapes. David D. Marshall. California Polytechnic State University, San Luis Obispo, CA , USA Creatig Exact Bezier Represetatios of CST Shapes David D. Marshall Califoria Polytechic State Uiversity, Sa Luis Obispo, CA 93407-035, USA The paper presets a method of expressig CST shapes pioeered by

More information

Load balanced Parallel Prime Number Generator with Sieve of Eratosthenes on Cluster Computers *

Load balanced Parallel Prime Number Generator with Sieve of Eratosthenes on Cluster Computers * Load balaced Parallel Prime umber Geerator with Sieve of Eratosthees o luster omputers * Soowook Hwag*, Kyusik hug**, ad Dogseug Kim* *Departmet of Electrical Egieerig Korea Uiversity Seoul, -, Rep. of

More information

Protected points in ordered trees

Protected points in ordered trees Applied Mathematics Letters 008 56 50 www.elsevier.com/locate/aml Protected poits i ordered trees Gi-Sag Cheo a, Louis W. Shapiro b, a Departmet of Mathematics, Sugkyukwa Uiversity, Suwo 440-746, Republic

More information

Chapter 8. Strings and Vectors. Copyright 2014 Pearson Addison-Wesley. All rights reserved.

Chapter 8. Strings and Vectors. Copyright 2014 Pearson Addison-Wesley. All rights reserved. Chapter 8 Strigs ad Vectors Overview 8.1 A Array Type for Strigs 8.2 The Stadard strig Class 8.3 Vectors Slide 8-3 8.1 A Array Type for Strigs A Array Type for Strigs C-strigs ca be used to represet strigs

More information

An Efficient Algorithm for Graph Bisection of Triangularizations

An Efficient Algorithm for Graph Bisection of Triangularizations A Efficiet Algorithm for Graph Bisectio of Triagularizatios Gerold Jäger Departmet of Computer Sciece Washigto Uiversity Campus Box 1045 Oe Brookigs Drive St. Louis, Missouri 63130-4899, USA jaegerg@cse.wustl.edu

More information

6.854J / J Advanced Algorithms Fall 2008

6.854J / J Advanced Algorithms Fall 2008 MIT OpeCourseWare http://ocw.mit.edu 6.854J / 18.415J Advaced Algorithms Fall 2008 For iformatio about citig these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. 18.415/6.854 Advaced Algorithms

More information

MATHEMATICAL METHODS OF ANALYSIS AND EXPERIMENTAL DATA PROCESSING (Or Methods of Curve Fitting)

MATHEMATICAL METHODS OF ANALYSIS AND EXPERIMENTAL DATA PROCESSING (Or Methods of Curve Fitting) MATHEMATICAL METHODS OF ANALYSIS AND EXPERIMENTAL DATA PROCESSING (Or Methods of Curve Fittig) I this chapter, we will eamie some methods of aalysis ad data processig; data obtaied as a result of a give

More information

A Boolean Query Processing with a Result Cache in Mediator Systems

A Boolean Query Processing with a Result Cache in Mediator Systems A Boolea Query Processig with a Result Cache i Mediator Systems Jae-heo Cheog ad Sag-goo Lee * Departmet of Computer Sciece Seoul Natioal Uiversity Sa 56-1 Shillim-dog Kwaak-gu, Seoul Korea {cjh, sglee}cygus.su.ac.kr

More information

Optimal Mapped Mesh on the Circle

Optimal Mapped Mesh on the Circle Koferece ANSYS 009 Optimal Mapped Mesh o the Circle doc. Ig. Jaroslav Štigler, Ph.D. Bro Uiversity of Techology, aculty of Mechaical gieerig, ergy Istitut, Abstract: This paper brigs out some ideas ad

More information

Cubic Polynomial Curves with a Shape Parameter

Cubic Polynomial Curves with a Shape Parameter roceedigs of the th WSEAS Iteratioal Coferece o Robotics Cotrol ad Maufacturig Techology Hagzhou Chia April -8 00 (pp5-70) Cubic olyomial Curves with a Shape arameter MO GUOLIANG ZHAO YANAN Iformatio ad

More information

Section 7.2: Direction Fields and Euler s Methods

Section 7.2: Direction Fields and Euler s Methods Sectio 7.: Directio ields ad Euler s Methods Practice HW from Stewart Tetbook ot to had i p. 5 # -3 9-3 odd or a give differetial equatio we wat to look at was to fid its solutio. I this chapter we will

More information

HADOOP: A NEW APPROACH FOR DOCUMENT CLUSTERING

HADOOP: A NEW APPROACH FOR DOCUMENT CLUSTERING Y.K. Patil* Iteratioal Joural of Advaced Research i ISSN: 2278-6244 IT ad Egieerig Impact Factor: 4.54 HADOOP: A NEW APPROACH FOR DOCUMENT CLUSTERING Prof. V.S. Nadedkar** Abstract: Documet clusterig is

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

An Efficient Implementation of the Gradient-based Hough Transform using DSP slices and block RAMs on the FPGA

An Efficient Implementation of the Gradient-based Hough Transform using DSP slices and block RAMs on the FPGA A Efficiet Implemetatio of the Gradiet-based Hough Trasform usig DSP slices ad block RAMs o the FPGA Xi Zhou, Yasuaki Ito, ad Koji Nakao Departmet of Iformatio Egieerig Hiroshima Uiversity Kagamiyama 1-4-1,

More information

THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS. Roman Szewczyk

THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS. Roman Szewczyk THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS Roma Szewczyk Istitute of Metrology ad Biomedical Egieerig, Warsaw Uiversity of Techology E-mail:

More information

Ray Andraka Andraka Consulting Group, Inc 16 Arcadia Drive North Kingstown, RI / FAX 401/

Ray Andraka Andraka Consulting Group, Inc 16 Arcadia Drive North Kingstown, RI / FAX 401/ A survey of CORDIC algorithms Ray Adraka Adraka Cosultig Group, Ic 16 Arcadia Drive North Kigstow, RI 02852 401/884-7930 FAX 401/884-7950 for FPGA based computers email:radraka@ids.et 1. ABSTRACT efficiet

More information

Bayesian approach to reliability modelling for a probability of failure on demand parameter

Bayesian approach to reliability modelling for a probability of failure on demand parameter Bayesia approach to reliability modellig for a probability of failure o demad parameter BÖRCSÖK J., SCHAEFER S. Departmet of Computer Architecture ad System Programmig Uiversity Kassel, Wilhelmshöher Allee

More information

BAYESIAN WITH FULL CONDITIONAL POSTERIOR DISTRIBUTION APPROACH FOR SOLUTION OF COMPLEX MODELS. Pudji Ismartini

BAYESIAN WITH FULL CONDITIONAL POSTERIOR DISTRIBUTION APPROACH FOR SOLUTION OF COMPLEX MODELS. Pudji Ismartini Proceedig of Iteratioal Coferece O Research, Implemetatio Ad Educatio Of Mathematics Ad Scieces 014, Yogyakarta State Uiversity, 18-0 May 014 BAYESIAN WIH FULL CONDIIONAL POSERIOR DISRIBUION APPROACH FOR

More information

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only Edited: Yeh-Liag Hsu (998--; recommeded: Yeh-Liag Hsu (--9; last updated: Yeh-Liag Hsu (9--7. Note: This is the course material for ME55 Geometric modelig ad computer graphics, Yua Ze Uiversity. art of

More information

EVALUATION OF TRIGONOMETRIC FUNCTIONS

EVALUATION OF TRIGONOMETRIC FUNCTIONS EVALUATION OF TRIGONOMETRIC FUNCTIONS Whe first exposed to trigoometric fuctios i high school studets are expected to memorize the values of the trigoometric fuctios of sie cosie taget for the special

More information

On Computing the Fuzzy Weighted Average Using the KM Algorithms

On Computing the Fuzzy Weighted Average Using the KM Algorithms O Computig the Fuzzy Weighted Average Usig the KM Algorithms Feilog iu ad Jerry M Medel Sigal ad Image Processig Istitute, Departmet of Electrical Egieerig Uiversity of Souther Califoria, 3740 McClitock

More information