Design of Efficient Pipelined Radix-2 2 Single Path Delay Feedback FFT

Size: px
Start display at page:

Download "Design of Efficient Pipelined Radix-2 2 Single Path Delay Feedback FFT"

Transcription

1 IOSR Joural of VLSI ad Sigal Processig IOSR-JVSP Volume Issue Ver. I May-Ju. 0 PP 88-9 e-iss: 9 00 p-iss o. : Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT isha Laguri # Deepshiha Bharti * K. Ausudha # # M.Tech Studet Electroics Departmet of Electroics Egieerig Podicherry Uiversity * M.Tech Studet Electroics Departmet of Electroics Egieerig Podicherry Uiversity # Assistat Professor Departmet of Electroics Egieerig Podicherry UiversityPuducherry Idia Abstract: Digital Sigal Processig DSP has become a very importat ad dyamic research area. ow-aday s may itegrated circuits are dedicated to DSP fuctios. Fourier Trasform is widely used i idustrial applicatios as well as i scietific research. The performace i terms of throughput of the processor is limited by the multiplicatio. Therefore the multiplier is optimized to mae the iput to output delay as short as possible. A ew FFT architecture based o distributed arithmetic has bee developed ad is compared to a previous multiplier based FFT. A efficiet implemetatio of a pipelied Radi- Sigle Path Delay Feedbac FFT is proposed i this paper. I this Covetioal R- SDF FFT architecture comple multiplier ad multiplier-less architecture based o distributed arithmetic ad parallel prefi adder techique are eplaied. The advatages performace ad timig of the commuicatio modules after implemetatio of the proposed techique are the discussed at the ed. The compariso clearly idicates that the R- SDF FFT with the proposed architecture is efficiet tha the other implemetatios of its id. Keywords: FFT Twiddle Factor Butterfly Uit Comple Multiplier I. Itroductio Pipelie FFT processor is a specified class of processors for DFT computatio utilizig fast algorithms. It is characterized with real-time o-stop processig as the data sequece passes through the processor. It is a AT o-optimal approach with AT =O sice the area lower boud is O. The class of pipelie FFT processors has probably the smallest costat factor amog the approaches that meet the time requiremet due to its least umber Olog of Arithmetic Elemets AE. The differece comes from the fact that a AE especially the multiplier taes the much larger area tha a register i digital VLSI implemetatio. For hardware implemetatio various FFT processors have bee proposed. These implemetatios ca be maily classified ito memory-based ad pipelie architecture based. Memory-based architecture is widely adapted to desig FFT processor also ow as sigle processig elemet PE approach. This desig style is usually composed of a mai PE ad several memory uits thus the hardware cost ad power cosumptio both is lower tha the other architecture style. However this id of architecture style has log latecy low throughput ad ca ot be parallelized. O the other had the pipelie architecture style ca get rid of the disadvatages of the foregoig style at the cost of a acceptable hardware overhead. Geerally the pipelie FFT processors have two popular desig types. Oe uses sigle-path delay feedbac SDF pipelie architecture ad the other uses multiple-path delay commutator MDC pipelie architecture. Such implemetatios are advatageous to low-power desig especially for the applicatios i portable DSP devices. Based o these reasos the SDF pipelie FFT is adopted i this. The proposed architecture icludes a distributed arithmetic based comple multiplier istead of usig multiplier to store twiddle factors. I this paper a more detailed ad completed descriptio of the etire wor is provided. The rest of this paper is orgaized as follows. First a brief review of the Radi- Fast Fourier trasform is described i Sectio II. Sectio III presets the Radi- FFT architecture. Sectio IV discusses the eistig architecture. The performace evaluatio of distributed arithmetic based comple multiplier is the discussed i Sectio V. Sectio VI presets proposed architecture. Results are compared with other architectures i Sectio VII ad lastly sectio VIII provides the coclusio. II. Radi- Decimatio I Frequecy FFT Algorithm The Discrete Fourier Trasforms DFT X of a -poit discrete-time sigal is defied by: X 0 0 < Page

2 Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT 89 Page here deotes the primitive th root of uity with its epoet modulo is the iput sequece ad X is the DFT. Applyig a -dimesioal liear ide map. Ad Commo factor algorithm CFA to derive a set of DFTs of legth / as H X 0 where are the ide terms of iput sample ad are the ide terms of the output sample ad where H is epressed i equatio. H j. Above equatio represets the first two stages of butterflies with oly trivial multiplicatios i SFG as BFI ad BFII. Full multipliers are required after the two butterflies i order to compute the product of the decomposed twiddle factor i equatio. Applyig this CFA procedure recursively to the remaiig DFTs of legth / i equatio the complete radi- Decimatio-i-frequecy DIF FFT algorithm is obtaied. The correspodig FFT sigal flow graph for =6 is show i Figure where small diamods represet trivial multiplicatio by- j / it ivolves oly real-imagiary swappig ad sig iversio. Figure : Radi- DIF FFT flow graph for =6 III. Radi- FFT Architecture Mappig radi- DIF FFT algorithm derived to the radi- SDF architecture a ew architecture of R- SDF approach is obtaied. Figure outlies a implemetatio of the Radi- SDF sigal flow graph for =6 ote the similarity of the data-path to RSDF ad the reduced umber of multipliers. The implemetatio uses two ids of butterflies; oe idetical to that i the RSDF the other cotais also the logic to implemet the trivial twiddle factor multiplicatio....

3 Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT Due to the spatial regularity of Radi- algorithm the processor s sychroizatio cotrol is very simple. A log-bit biary couter serves two purposes: sychroizatio cotroller ad address couter for twiddle factor readig i each stage. ith the help of butterfly structures show i Figure the scheduled operatio of the R- SDF processor i Figure is as follows. O first / cycles the -to- multipleers i the first butterfly module switch to positio 0 ad the butterfly is idle. The iput data from left is directed to the shift registers util they are filled. O et / cycles the multipleers switch to positio the butterfly computes a -poit DFT with icomig data ad the data stored i the shift registers. Z Z The butterfly outputs Z ad Z + / are computed accordig to the equatio. Z is set to apply the twiddle factors ad Z + / is set bac to the shift registers to be multiplied i still et / cycles whe the first half of the et frame of time sequece is loaded i. The operatio of the secod ad third butterfly is similar to that of the first oe ecept the distace of butterfly iput sequece are just / ad the trivial twiddle factor multiplicatio has bee implemeted by real-imagiary swappig with a commutator ad cotrolled add/subtract operatios which eeds two bit cotrol sigal from the sychroizig couter. Data the goes through a full comple multiplier. Further processig repeats this patter with the distace of the iput data decreases by half at each cosecutive butterfly stages. After - cloc cycles the result of complete DFT trasform streams out to the right i bi-trasversed order. The et frame of trasform ca be computed without pausig due to the pipelied processig of each stage. Figure : Radi- SDF pipelie FFT architecture Figure : Butterfly Structure-I Figure : Butterfly Structure-II 90 Page

4 Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT IV. Eistig Architecture Traditioal hardware implemetatio of FFT/IFFT processors usually employs a ROM to loo up the wated twiddle factors ad the word legth of comple multipliers to perform FFT computatio. However this itroduces more hardware cost thus a bit-parallel comple multiplicatio scheme is used to improve the foregoig issue. Sice the twiddle factors have a symmetric property the comple multiplicatios which are used i the FFT computatio ca be oe of the followig three operatio types- K. a jb b ja /. a jb b ja / / / a jb b ja /.. 9 Give the above three equatios ay twiddle factor ca be obtaied by combiatio of these twiddlefactor primary elemets. I other words arbitrary twiddle factor used i FFT ca utilize these operatio types to derive the wated value thus ca sigificatly reduce the size of ROM used to store the twiddle factors. Figure 5: Covetioal Comple Multiplier Booth multiplicatio algorithm has bee used for comple multipliers. Thus the overall latecy of the real-time implemetatio varies as the processig word legth chages. V. Distributed Arithmetic Method for Comple Multiplicatio I this sectio comple multiplicatio operatio usig DA is eplaied. DA ca be used to implemet multiplicatio operatio if either the multiplicad or the multiplier value is fied. It stores the possible combiatios of fied operad i ROM ad suitable combiatio is added ad shifted with respect to bits of other operad. The method for DA base comple multiplicatio ca be summarized as: Z jz B jb * T j T R I R I R I 0 here Z I Z R BRT BRT I R BIT BIT R I It shows that real multiplicatios ad real additios are required to compute Z R ad Z I. But these equatios ca be cosidered as oe multiply ad accumulate operatio y c. 9 Page

5 Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT Let C are fied coefficiets ad are the iput words. If is M-bit fractioal umber i s complemet form the it ca be epressed i followig form- b M m b m 0 m VI. Proposed Architecture Figure 6: DA based comple multiplier I proposed architecture a pipelied Radi- SDF FFT uit is desiged without usig multipliers. All the comple multiplicatios required for this type of FFT are implemeted usig Distributed Arithmetic DA techique. The detailed architecture for comple multiplier is show i above Figure 6. The real ad imagiary parts of icomig words B R ad B I are stored i two 8 bits wide parallel i serial out register. Shiftig is carried out startig from LSB to MSB. Each output bit of these two registers is used as address lies of the ROMs. The ROM stores precalculated outcomes for both Z R ad Z I. The size of each ROM is 8. Oe of the iput to the : MUX is directly fed from the output of ROM ad the other iput to MUX is iverted. Iput ad output bit width for MUX is also 8 bits. The select lie of MUX is ci sigal ad it remais as 0 till the MSB arrives at output. If select lie ci of Mu is it selects iverted output from ROM ad it is added to the value stored i the partial product register PPR. The PPR is 8 bit wide parallel i parallel out register which also performs -bit right shift operatio. Fially the output is tae from the left shift register. VII. Parallel Prefi Adder The Bret-Kug adder is a parallel prefi adder. Parallel prefi adders are special class of adders that are based o the use of geerate ad propagate sigals. Simpler Bret-Kug adders was bee proposed to solve the disadvatages of Kogge-Stoe adders. The cost ad wirig compleity is greatly reduced. It cosidered as oe of the better tree adders for miimizig wirig tracs fa out ad gate cout ad used as a basis for may other etwors. The bloc diagram of 8-bit Bret-Kug adder is show i Fig.7. Figure 7: 8-bit Bret-Kug adder 9 Page

6 Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT VIII. Result Ad Discussio The desig of Sigle Path Delay Feedbac FFT was modeled i VERILOG by maig use of a module based structure approach. Both the SDF FFT were desiged ad sythesized by usig Xili-. versio o Sparta- device. All the operatio ca be simulated at oe time; the behavioural simulatio is doe by eecutig the test bech file. Table I. Compariso betwee covetioal ad proposed comple multiplier Parameters Eistig Comple Multiplier Proposed Comple Multiplier o. of slices 00 5 Delay s Table I. shows the compariso betwee eistig ad proposed comple multiplier. There is a huge differece betwee eistig ad proposed comple multiplier because i eistig we have used multiplier blocs but i case of proposed it is without the use of multiplier it is basically made up of registers. Ad register taes less area whe compared with the multiplier. Reductio i delay because of the use of parallel prefi adder. Table II. Compariso betwee eistig ad proposed butterfly structure Type of model Parameters Butterfly-I Butterfly-II Eistig Model o. of slices Delay s Proposed Model o. of slices 5 0 Delay s Table III. Compariso betwee eistig ad proposed R- SDF FFT Type of model o. of slices Delay s o. of iputs Eistig X6 Proposed X6 From above table it ca be see that proposed FFT is better i terms of area ad delay whe compared with eistig oe. IX. Coclusio A multiplier less pipelied Radi- Sigle Path Delay Feedbac FFT has bee described i this paper. Maily area ad delay are cosidered for performace evaluatio eeded by the SDF FFT. Distributed Arithmetic based comple multiplier has bee used as a proposed model. Cosiderig the symmetric property of twiddle factors i FFT we have desiged a distributed arithmetic based comple multiplier such that the size of twiddle factor is sigificatly shru. A ew approach is proposed i this paper to reduce the area ad delay of SDF FFT. The replacemet of DA based comple multiplier with parallel prefi adder i place of covetioal comple multiplier offers great advatage. This result shows that our desig ows less area as well as less delay as compared to the eistig oe. Of course our proposed architecture ca also be adapted to high-poit FFT applicatios with lower size of twiddle-factor ROM s. Refereces []. Xue LIU Feg YU Ze-e AG A pipelied architecture for ormal I/O order FFT Joural of Computers & Electroics Spriger olie publicatio Vol. o. pp May0 []. K.Haririsha T.Rama Rao ad Vladimir A. Labay A Radi- Pipelie FFT for Ultra ide Bad Techology Iteratioal Coferece o computer & etwor Techology ICCT coferece proceedigs published by orld Scietific Press Sigapore. Cheai Idia July []. Suil P. Joshi Roy Paily Distributed Arithmetic Based Split- Radi FFT Joural of Sigal Processig System Spriger Olie Publicatio May 0 []. Omri ad Bouallegue "ew Trasmissio Scheme for MIMO-OFDM System" Iteratioal Joural of et-geeratio 9 Page

7 Desig of Efficiet Pipelied Radi- Sigle Path Delay Feedbac FFT etwors Vol. o.pp. -9 March 0 [5]. Chag Y A efficiet VLSI architecture for ormal I/O order pipelie FFT desig IEEE Tras. Circ. Syst. II: Ep. Briefs volume 55 o. pp. -8. [6]. Omri ad Bouallegue "ew Trasmissio Scheme for MIMO-OFDM System" Iteratioal Joural of et-geeratio etwors Vol. o.pp. -9 March 0 [7]. Cheg C. Parhi K.K. 007 High-throughput VLSI architecture for FFT computatio IEEE Tras. Circ. Syst. II: Ep. Briefs volume 5 o.0 pp [doi:0.09/tcsii ] [8]. Mia Sijjad Miallah Gulista Raja Real Time FFT Processor Implemetatio IEEE ICET 006Iteratioal Coferece o Emergig Techologies.Peshawar Paista - Pages: 9-95 ovember 006 [9]. isha Laguri K. Ausudha Desig of Delay Efficiet Distributed Arithmetic Based Split Radi FFT Iteratioal Joural of Egieerig Treds ad Techology Volume 5 umber 7 ovember Page

Chapter 3 Classification of FFT Processor Algorithms

Chapter 3 Classification of FFT Processor Algorithms Chapter Classificatio of FFT Processor Algorithms The computatioal complexity of the Discrete Fourier trasform (DFT) is very high. It requires () 2 complex multiplicatios ad () complex additios [5]. As

More information

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions FFT Circuit Desig Outlie Applicatios of FFT i Commuicatios Fudametal FFT Algorithms FFT Circuit Desig Architectures Coclusios DAB Receiver Tuer OFDM Demodulator Chael Decoder Mpeg Audio Decoder 56/5/ 4/48

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

EE123 Digital Signal Processing

EE123 Digital Signal Processing Last Time EE Digital Sigal Processig Lecture 7 Block Covolutio, Overlap ad Add, FFT Discrete Fourier Trasform Properties of the Liear covolutio through circular Today Liear covolutio with Overlap ad add

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

FPGA Implementation of Pipeline Digit-Slicing Multiplier-Less Radix 2 2 DIF SDF Butterfly for Fast Fourier Transform Structure

FPGA Implementation of Pipeline Digit-Slicing Multiplier-Less Radix 2 2 DIF SDF Butterfly for Fast Fourier Transform Structure FPGA Implemetatio of Pipelie Slicig MultiplierLess Radix DIF SDF Butterfly for Fast Fourier Trasform Structure Yaza Samir Algabi,. Rozita Teymourzadeh, Masuri Othma, Md Shabiul Islam Istitute of MicroEgieerig

More information

Fast Fourier Transform (FFT) Algorithms

Fast Fourier Transform (FFT) Algorithms Fast Fourier Trasform FFT Algorithms Relatio to the z-trasform elsewhere, ozero, z x z X x [ ] 2 ~ elsewhere,, ~ e j x X x x π j e z z X X π 2 ~ The DFS X represets evely spaced samples of the z- trasform

More information

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits Egieerig Letters, :, EL Reversible Realizatio of Quaterary Decoder, Multiplexer, ad Demultiplexer Circuits Mozammel H.. Kha, Member, ENG bstract quaterary reversible circuit is more compact tha the correspodig

More information

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) A NEW RADIX-4 FFT ALGORITHM

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) A NEW RADIX-4 FFT ALGORITHM ITERATIOAL JOURAL OF ADVACED REEARC I EIEERI AD TECOLO (IJARET Iteratioal Joural of Advaced Research i Egieerig ad Techology (IJARET I 976 68(Prit I 976 699(Olie Volume Issue 3 April (3 IAEME I 976-68

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

Introduction to FFT Processors

Introduction to FFT Processors Itroductio to FFT Processors Chih-ei Liu VLSI Siga Processig Lab Departmet of Eectroics Egieerig atioa Chiao-Tug Uiversity FFT Desig FFT Cosists of a series of compe additios ad compe mutipicatios Agorithm

More information

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL Automatic Geeratio of Polyomial-Basis Multipliers i GF (2 ) usig Recursive VHDL J. Nelso, G. Lai, A. Teca Abstract Multiplicatio i GF (2 ) is very commoly used i the fields of cryptography ad error correctig

More information

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope G. Mohana Durga 1, D.V.R. Mohan 2 1 M.Tech Student, 2 Professor, Department of ECE, SRKR Engineering College, Bhimavaram, Andhra

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

A REDUCED-COMPLEXITY LDPC DECODING ALGORITHM WITH CHEBYSHEV POLYNOMIAL FITTING

A REDUCED-COMPLEXITY LDPC DECODING ALGORITHM WITH CHEBYSHEV POLYNOMIAL FITTING Joural of Theoretical ad Applied Iformatio Techology st March. Vol. 49 No. 5 - JATIT & LLS. All rights reserved. ISSN: 99-8645 www.jatit.org E-ISSN: 87-95 A REDUCED-COMPLEXITY LDPC DECODING ALGORITHM WITH

More information

An Efficient Algorithm for Graph Bisection of Triangularizations

An Efficient Algorithm for Graph Bisection of Triangularizations A Efficiet Algorithm for Graph Bisectio of Triagularizatios Gerold Jäger Departmet of Computer Sciece Washigto Uiversity Campus Box 1045 Oe Brookigs Drive St. Louis, Missouri 63130-4899, USA jaegerg@cse.wustl.edu

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime,

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

BASED ON ITERATIVE ERROR-CORRECTION

BASED ON ITERATIVE ERROR-CORRECTION A COHPARISO OF CRYPTAALYTIC PRICIPLES BASED O ITERATIVE ERROR-CORRECTIO Miodrag J. MihaljeviC ad Jova Dj. GoliC Istitute of Applied Mathematics ad Electroics. Belgrade School of Electrical Egieerig. Uiversity

More information

Accuracy Improvement in Camera Calibration

Accuracy Improvement in Camera Calibration Accuracy Improvemet i Camera Calibratio FaJie L Qi Zag ad Reihard Klette CITR, Computer Sciece Departmet The Uiversity of Aucklad Tamaki Campus, Aucklad, New Zealad fli006, qza001@ec.aucklad.ac.z r.klette@aucklad.ac.z

More information

An Efficient Algorithm for Graph Bisection of Triangularizations

An Efficient Algorithm for Graph Bisection of Triangularizations Applied Mathematical Scieces, Vol. 1, 2007, o. 25, 1203-1215 A Efficiet Algorithm for Graph Bisectio of Triagularizatios Gerold Jäger Departmet of Computer Sciece Washigto Uiversity Campus Box 1045, Oe

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

FAST BIT-REVERSALS ON UNIPROCESSORS AND SHARED-MEMORY MULTIPROCESSORS

FAST BIT-REVERSALS ON UNIPROCESSORS AND SHARED-MEMORY MULTIPROCESSORS SIAM J. SCI. COMPUT. Vol. 22, No. 6, pp. 2113 2134 c 21 Society for Idustrial ad Applied Mathematics FAST BIT-REVERSALS ON UNIPROCESSORS AND SHARED-MEMORY MULTIPROCESSORS ZHAO ZHANG AND XIAODONG ZHANG

More information

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels:

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels: DBE Memo#1 MARK 5 MEMO #18 MASSACHUSETTS INSTITUTE OF TECHNOLOGY HAYSTACK OBSERVATORY WESTFORD, MASSACHUSETTS 1886 November 19, 24 Telephoe: 978-692-4764 Fax: 781-981-59 To: From: Mark 5 Developmet Group

More information

Fast Fourier Transform (FFT)

Fast Fourier Transform (FFT) IC Desig Lab. Fast Fourier Trasfor FFT, - e e π π G Twiddle Factor : e π Radi- DIT FFT: IC Desig Lab. π cos si π Syetry Property Periodicity Property u u u * Cougate Syetric Property a a b b a b b a b

More information

MOST of the advanced signal processing algorithms are

MOST of the advanced signal processing algorithms are A edited versio of this work was publiched i IEEE TRANS. ON CIRCUITS AND SYSTEMS II, VOL. 6, NO. 9, SEPT 05 DOI:0.09/TCSII.05.35753 High-Throughput FPGA Implemetatio of QR Decompositio Sergio D. Muñoz

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

Linear Time-Invariant Systems

Linear Time-Invariant Systems 9/9/00 LIEAR TIE-IVARIAT SYSTES Uit, d Part Liear Time-Ivariat Sstems A importat class of discrete-time sstem cosists of those that are Liear Priciple of superpositio Time-ivariat dela of the iput sequece

More information

Introduction to FFT Processors. Chih-Wei Liu VLSI Signal Processing Lab Department of Electronics Engineering National Chiao-Tung University

Introduction to FFT Processors. Chih-Wei Liu VLSI Signal Processing Lab Department of Electronics Engineering National Chiao-Tung University Itroductio to FFT Processors Chihei Liu VLSI Siga Processig Lab Departmet of Eectroics Egieerig atioa ChiaoTug Uiversity FFT Desig FFT Cosists of a series of compe additios ad compe mutipicatios Agorithm

More information

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT Design of Delay Efficient Arithmetic Based Split Radix FFT Nisha Laguri #1, K. Anusudha *2 #1 M.Tech Student, Electronics, Department of Electronics Engineering, Pondicherry University, Puducherry, India

More information

UNIVERSITY OF MORATUWA

UNIVERSITY OF MORATUWA UNIVERSITY OF MORATUWA FACULTY OF ENGINEERING DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING B.Sc. Egieerig 2014 Itake Semester 2 Examiatio CS2052 COMPUTER ARCHITECTURE Time allowed: 2 Hours Jauary 2016

More information

Neural Networks A Model of Boolean Functions

Neural Networks A Model of Boolean Functions Neural Networks A Model of Boolea Fuctios Berd Steibach, Roma Kohut Freiberg Uiversity of Miig ad Techology Istitute of Computer Sciece D-09596 Freiberg, Germay e-mails: steib@iformatik.tu-freiberg.de

More information

An Algorithm to Solve Multi-Objective Assignment. Problem Using Interactive Fuzzy. Goal Programming Approach

An Algorithm to Solve Multi-Objective Assignment. Problem Using Interactive Fuzzy. Goal Programming Approach It. J. Cotemp. Math. Scieces, Vol. 6, 0, o. 34, 65-66 A Algorm to Solve Multi-Objective Assigmet Problem Usig Iteractive Fuzzy Goal Programmig Approach P. K. De ad Bharti Yadav Departmet of Mathematics

More information

Cubic Polynomial Curves with a Shape Parameter

Cubic Polynomial Curves with a Shape Parameter roceedigs of the th WSEAS Iteratioal Coferece o Robotics Cotrol ad Maufacturig Techology Hagzhou Chia April -8 00 (pp5-70) Cubic olyomial Curves with a Shape arameter MO GUOLIANG ZHAO YANAN Iformatio ad

More information

Cache-Optimal Methods for Bit-Reversals

Cache-Optimal Methods for Bit-Reversals Proceedigs of the ACM/IEEE Supercomputig Coferece, November 1999, Portlad, Orego, U.S.A. Cache-Optimal Methods for Bit-Reversals Zhao Zhag ad Xiaodog Zhag Departmet of Computer Sciece College of William

More information

1. SWITCHING FUNDAMENTALS

1. SWITCHING FUNDAMENTALS . SWITCING FUNDMENTLS Switchig is the provisio of a o-demad coectio betwee two ed poits. Two distict switchig techiques are employed i commuicatio etwors-- circuit switchig ad pacet switchig. Circuit switchig

More information

BOOLEAN MATHEMATICS: GENERAL THEORY

BOOLEAN MATHEMATICS: GENERAL THEORY CHAPTER 3 BOOLEAN MATHEMATICS: GENERAL THEORY 3.1 ISOMORPHIC PROPERTIES The ame Boolea Arithmetic was chose because it was discovered that literal Boolea Algebra could have a isomorphic umerical aspect.

More information

Computation of DFT. point DFT. W has a specific structure and because

Computation of DFT. point DFT. W has a specific structure and because DSP 5 Spig Computatio of DFT CTU EE Computatio of DFT Efficiet algoithms fo computig DFT Fast Fouie Tasfom. a Compute oly a few poits out of all poits b Compute all poits hat ae the efficiecy citeia? umbe

More information

LU Decomposition Method

LU Decomposition Method SOLUTION OF SIMULTANEOUS LINEAR EQUATIONS LU Decompositio Method Jamie Traha, Autar Kaw, Kevi Marti Uiversity of South Florida Uited States of America kaw@eg.usf.edu http://umericalmethods.eg.usf.edu Itroductio

More information

3D Model Retrieval Method Based on Sample Prediction

3D Model Retrieval Method Based on Sample Prediction 20 Iteratioal Coferece o Computer Commuicatio ad Maagemet Proc.of CSIT vol.5 (20) (20) IACSIT Press, Sigapore 3D Model Retrieval Method Based o Sample Predictio Qigche Zhag, Ya Tag* School of Computer

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

The Simeck Family of Lightweight Block Ciphers

The Simeck Family of Lightweight Block Ciphers The Simeck Family of Lightweight Block Ciphers Gagqiag Yag, Bo Zhu, Valeti Suder, Mark D. Aagaard, ad Guag Gog Electrical ad Computer Egieerig, Uiversity of Waterloo Sept 5, 205 Yag, Zhu, Suder, Aagaard,

More information

A Modified Multiband U Shaped and Microcontroller Shaped Fractal Antenna

A Modified Multiband U Shaped and Microcontroller Shaped Fractal Antenna al Joural o Recet ad Iovatio Treds i Computig ad Commuicatio ISSN: 221-8169 A Modified Multibad U Shaped ad Microcotroller Shaped Fractal Atea Shweta Goyal 1, Yogedra Kumar Katiyar 2 1 M.tech Scholar,

More information

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods.

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods. Software developmet of compoets for complex sigal aalysis o the example of adaptive recursive estimatio methods. SIMON BOYMANN, RALPH MASCHOTTA, SILKE LEHMANN, DUNJA STEUER Istitute of Biomedical Egieerig

More information

EE University of Minnesota. Midterm Exam #1. Prof. Matthew O'Keefe TA: Eric Seppanen. Department of Electrical and Computer Engineering

EE University of Minnesota. Midterm Exam #1. Prof. Matthew O'Keefe TA: Eric Seppanen. Department of Electrical and Computer Engineering EE 4363 1 Uiversity of Miesota Midterm Exam #1 Prof. Matthew O'Keefe TA: Eric Seppae Departmet of Electrical ad Computer Egieerig Uiversity of Miesota Twi Cities Campus EE 4363 Itroductio to Microprocessors

More information

Efficient Hardware Design for Implementation of Matrix Multiplication by using PPI-SO

Efficient Hardware Design for Implementation of Matrix Multiplication by using PPI-SO Efficiet Hardware Desig for Implemetatio of Matrix Multiplicatio by usig PPI-SO Shivagi Tiwari, Niti Meea Dept. of EC, IES College of Techology, Bhopal, Idia Assistat Professor, Dept. of EC, IES College

More information

Efficient Hough transform on the FPGA using DSP slices and block RAMs

Efficient Hough transform on the FPGA using DSP slices and block RAMs Efficiet Hough trasform o the FPGA usig DSP slices ad block RAMs Xi Zhou, Norihiro Tomagou, Yasuaki Ito, ad Koji Nakao Departmet of Iformatio Egieerig Hiroshima Uiversity Kagamiyama 1-4-1, Higashi Hiroshima,

More information

Improving Template Based Spike Detection

Improving Template Based Spike Detection Improvig Template Based Spike Detectio Kirk Smith, Member - IEEE Portlad State Uiversity petra@ee.pdx.edu Abstract Template matchig algorithms like SSE, Covolutio ad Maximum Likelihood are well kow for

More information

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only Edited: Yeh-Liag Hsu (998--; recommeded: Yeh-Liag Hsu (--9; last updated: Yeh-Liag Hsu (9--7. Note: This is the course material for ME55 Geometric modelig ad computer graphics, Yua Ze Uiversity. art of

More information

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM MATEC Web of Cofereces 79, 01014 (016) DOI: 10.1051/ mateccof/0167901014 T 016 BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM Staislav Shidlovskiy 1, 1 Natioal Research

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks, Queues, and Heaps Monday, February 18 / Tuesday, February 19

CIS 121 Data Structures and Algorithms with Java Spring Stacks, Queues, and Heaps Monday, February 18 / Tuesday, February 19 CIS Data Structures ad Algorithms with Java Sprig 09 Stacks, Queues, ad Heaps Moday, February 8 / Tuesday, February 9 Stacks ad Queues Recall the stack ad queue ADTs (abstract data types from lecture.

More information

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis Itro to Algorithm Aalysis Aalysis Metrics Slides. Table of Cotets. Aalysis Metrics 3. Exact Aalysis Rules 4. Simple Summatio 5. Summatio Formulas 6. Order of Magitude 7. Big-O otatio 8. Big-O Theorems

More information

Pattern Recognition Systems Lab 1 Least Mean Squares

Pattern Recognition Systems Lab 1 Least Mean Squares Patter Recogitio Systems Lab 1 Least Mea Squares 1. Objectives This laboratory work itroduces the OpeCV-based framework used throughout the course. I this assigmet a lie is fitted to a set of poits usig

More information

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization Ed Semester Examiatio 2013-14 CSE, III Yr. (I Sem), 30002: Computer Orgaizatio Istructios: GROUP -A 1. Write the questio paper group (A, B, C, D), o frot page top of aswer book, as per what is metioed

More information

Discrete Fourier Transform Compiler: From Mathematical Representation to Efficient Hardware

Discrete Fourier Transform Compiler: From Mathematical Representation to Efficient Hardware Discrete Fourier Trasform Compiler: From Mathematical Represetatio to Efficiet Hardware Peter A. Milder, Fraz Frachetti, James C. Hoe, ad Markus Püschel Electrical ad Computer Egieerig Departmet Caregie

More information

New HSL Distance Based Colour Clustering Algorithm

New HSL Distance Based Colour Clustering Algorithm The 4th Midwest Artificial Itelligece ad Cogitive Scieces Coferece (MAICS 03 pp 85-9 New Albay Idiaa USA April 3-4 03 New HSL Distace Based Colour Clusterig Algorithm Vasile Patrascu Departemet of Iformatics

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

Analysis of Server Resource Consumption of Meteorological Satellite Application System Based on Contour Curve

Analysis of Server Resource Consumption of Meteorological Satellite Application System Based on Contour Curve Advaces i Computer, Sigals ad Systems (2018) 2: 19-25 Clausius Scietific Press, Caada Aalysis of Server Resource Cosumptio of Meteorological Satellite Applicatio System Based o Cotour Curve Xiagag Zhao

More information

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis IOSR Joural of Egieerig Redudacy Allocatio for Series Parallel Systems with Multiple Costraits ad Sesitivity Aalysis S. V. Suresh Babu, D.Maheswar 2, G. Ragaath 3 Y.Viaya Kumar d G.Sakaraiah e (Mechaical

More information

The Magma Database file formats

The Magma Database file formats The Magma Database file formats Adrew Gaylard, Bret Pikey, ad Mart-Mari Breedt Johaesburg, South Africa 15th May 2006 1 Summary Magma is a ope-source object database created by Chris Muller, of Kasas City,

More information

ANN WHICH COVERS MLP AND RBF

ANN WHICH COVERS MLP AND RBF ANN WHICH COVERS MLP AND RBF Josef Boští, Jaromír Kual Faculty of Nuclear Scieces ad Physical Egieerig, CTU i Prague Departmet of Software Egieerig Abstract Two basic types of artificial eural etwors Multi

More information

The isoperimetric problem on the hypercube

The isoperimetric problem on the hypercube The isoperimetric problem o the hypercube Prepared by: Steve Butler November 2, 2005 1 The isoperimetric problem We will cosider the -dimesioal hypercube Q Recall that the hypercube Q is a graph whose

More information

k (check node degree) and j (variable node degree)

k (check node degree) and j (variable node degree) A Parallel Turbo Decodig Message Passig Architecture for Array LDPC Codes Kira Guam, Pakaj Bhagawat, Weihuag Wag, Gwa Choi, Mark Yeary * Dept. of Electrical Egieerig, Texas A&M Uiversity, College Statio,

More information

Parallel Polygon Approximation Algorithm Targeted at Reconfigurable Multi-Ring Hardware

Parallel Polygon Approximation Algorithm Targeted at Reconfigurable Multi-Ring Hardware Parallel Polygo Approximatio Algorithm Targeted at Recofigurable Multi-Rig Hardware M. Arif Wai* ad Hamid R. Arabia** *Califoria State Uiversity Bakersfield, Califoria, USA **Uiversity of Georgia, Georgia,

More information

A Comparison of Floating Point and Logarithmic Number Systems for FPGAs

A Comparison of Floating Point and Logarithmic Number Systems for FPGAs Compariso of Floatig Poit ad Logarithmic Number Systems for FPGs Michael Haselma, Michael Beauchamp, aro Wood, Scott Hauck Dept. of Electrical Egieerig Uiversity of Washigto Seattle, W {haselma, mjb7,

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

Efficient Diminished-1 Modulo 2 n þ 1 Multipliers

Efficient Diminished-1 Modulo 2 n þ 1 Multipliers IEEE TRANSACTIONS ON COMPUTERS, VOL. 54, NO. 4, APRIL 005 491 Efficiet Dimiished-1 Modulo þ 1 Multipliers Costas Efstathiou, Haridimos T. Vergos, Member, IEEE, Giorgos Dimitrakopoulos, ad Dimitris Nikolos,

More information

How do we evaluate algorithms?

How do we evaluate algorithms? F2 Readig referece: chapter 2 + slides Algorithm complexity Big O ad big Ω To calculate ruig time Aalysis of recursive Algorithms Next time: Litterature: slides mostly The first Algorithm desig methods:

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13 CIS Data Structures ad Algorithms with Java Sprig 08 Stacks ad Queues Moday, February / Tuesday, February Learig Goals Durig this lab, you will: Review stacks ad queues. Lear amortized ruig time aalysis

More information

State-space feedback 6 challenges of pole placement

State-space feedback 6 challenges of pole placement State-space feedbac 6 challeges of pole placemet J Rossiter Itroductio The earlier videos itroduced the cocept of state feedbac ad demostrated that it moves the poles. x u x Kx Bu It was show that whe

More information

Filter design. 1 Design considerations: a framework. 2 Finite impulse response (FIR) filter design

Filter design. 1 Design considerations: a framework. 2 Finite impulse response (FIR) filter design Filter desig Desig cosideratios: a framework C ı p ı p H(f) Aalysis of fiite wordlegth effects: I practice oe should check that the quatisatio used i the implemetatio does ot degrade the performace of

More information

ELEG 5173L Digital Signal Processing Introduction to TMS320C6713 DSK

ELEG 5173L Digital Signal Processing Introduction to TMS320C6713 DSK Departmet of Electrical Egieerig Uiversity of Arasas ELEG 5173L Digital Sigal Processig Itroductio to TMS320C6713 DSK Dr. Jigia Wu wuj@uar.edu ANALOG V.S DIGITAL 2 Aalog sigal processig ASP Aalog sigal

More information

FPGA IMPLEMENTATION OF BASE-N LOGARITHM. Salvador E. Tropea

FPGA IMPLEMENTATION OF BASE-N LOGARITHM. Salvador E. Tropea FPGA IMPLEMENTATION OF BASE-N LOGARITHM Salvador E. Tropea Electróica e Iformática Istituto Nacioal de Tecología Idustrial Bueos Aires, Argetia email: salvador@iti.gov.ar ABSTRACT I this work, we preset

More information

Evaluation scheme for Tracking in AMI

Evaluation scheme for Tracking in AMI A M I C o m m u i c a t i o A U G M E N T E D M U L T I - P A R T Y I N T E R A C T I O N http://www.amiproject.org/ Evaluatio scheme for Trackig i AMI S. Schreiber a D. Gatica-Perez b AMI WP4 Trackig:

More information

Chapter 4 The Datapath

Chapter 4 The Datapath The Ageda Chapter 4 The Datapath Based o slides McGraw-Hill Additioal material 24/25/26 Lewis/Marti Additioal material 28 Roth Additioal material 2 Taylor Additioal material 2 Farmer Tae the elemets that

More information

CIS 121 Data Structures and Algorithms with Java Fall Big-Oh Notation Tuesday, September 5 (Make-up Friday, September 8)

CIS 121 Data Structures and Algorithms with Java Fall Big-Oh Notation Tuesday, September 5 (Make-up Friday, September 8) CIS 11 Data Structures ad Algorithms with Java Fall 017 Big-Oh Notatio Tuesday, September 5 (Make-up Friday, September 8) Learig Goals Review Big-Oh ad lear big/small omega/theta otatios Practice solvig

More information

GPUMP: a Multiple-Precision Integer Library for GPUs

GPUMP: a Multiple-Precision Integer Library for GPUs GPUMP: a Multiple-Precisio Iteger Library for GPUs Kaiyog Zhao ad Xiaowe Chu Departmet of Computer Sciece, Hog Kog Baptist Uiversity Hog Kog, P. R. Chia Email: {kyzhao, chxw}@comp.hkbu.edu.hk Abstract

More information

Assignment Problems with fuzzy costs using Ones Assignment Method

Assignment Problems with fuzzy costs using Ones Assignment Method IOSR Joural of Mathematics (IOSR-JM) e-issn: 8-8, p-issn: 9-6. Volume, Issue Ver. V (Sep. - Oct.06), PP 8-89 www.iosrjourals.org Assigmet Problems with fuzzy costs usig Oes Assigmet Method S.Vimala, S.Krisha

More information

Enhancing Efficiency of Software Fault Tolerance Techniques in Satellite Motion System

Enhancing Efficiency of Software Fault Tolerance Techniques in Satellite Motion System Joural of Iformatio Systems ad Telecommuicatio, Vol. 2, No. 3, July-September 2014 173 Ehacig Efficiecy of Software Fault Tolerace Techiques i Satellite Motio System Hoda Baki Departmet of Electrical ad

More information

ALU Augmentation for MPEG-4 Repetitive Padding

ALU Augmentation for MPEG-4 Repetitive Padding ALU Augmetatio for MPEG-4 Repetitive Paddig Georgi Kuzmaov Stamatis Vassiliadis Computer Egieerig Lab, Electrical Egieerig Departmet, Faculty of formatio Techology ad Systems, Delft Uiversity of Techology,

More information

Computer Graphics Hardware An Overview

Computer Graphics Hardware An Overview Computer Graphics Hardware A Overview Graphics System Moitor Iput devices CPU/Memory GPU Raster Graphics System Raster: A array of picture elemets Based o raster-sca TV techology The scree (ad a picture)

More information

IMP: Superposer Integrated Morphometrics Package Superposition Tool

IMP: Superposer Integrated Morphometrics Package Superposition Tool IMP: Superposer Itegrated Morphometrics Package Superpositio Tool Programmig by: David Lieber ( 03) Caisius College 200 Mai St. Buffalo, NY 4208 Cocept by: H. David Sheets, Dept. of Physics, Caisius College

More information

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Pseudocode ( 1.1) High-level descriptio of a algorithm More structured

More information

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON Roberto Lopez ad Eugeio Oñate Iteratioal Ceter for Numerical Methods i Egieerig (CIMNE) Edificio C1, Gra Capitá s/, 08034 Barceloa, Spai ABSTRACT I this work

More information

Primitive polynomials selection method for pseudo-random number generator

Primitive polynomials selection method for pseudo-random number generator Joural of hysics: Coferece Series AER OEN ACCESS rimitive polyomials selectio method for pseudo-radom umber geerator To cite this article: I V Aiki ad Kh Alajjar 08 J. hys.: Cof. Ser. 944 0003 View the

More information

Improving Information Retrieval System Security via an Optimal Maximal Coding Scheme

Improving Information Retrieval System Security via an Optimal Maximal Coding Scheme Improvig Iformatio Retrieval System Security via a Optimal Maximal Codig Scheme Dogyag Log Departmet of Computer Sciece, City Uiversity of Hog Kog, 8 Tat Chee Aveue Kowloo, Hog Kog SAR, PRC dylog@cs.cityu.edu.hk

More information

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov Sortig i Liear Time Data Structures ad Algorithms Adrei Bulatov Algorithms Sortig i Liear Time 7-2 Compariso Sorts The oly test that all the algorithms we have cosidered so far is compariso The oly iformatio

More information

Performance Plus Software Parameter Definitions

Performance Plus Software Parameter Definitions Performace Plus+ Software Parameter Defiitios/ Performace Plus Software Parameter Defiitios Chapma Techical Note-TG-5 paramete.doc ev-0-03 Performace Plus+ Software Parameter Defiitios/2 Backgroud ad Defiitios

More information

Computation of DFT. W has a specific structure and because

Computation of DFT. W has a specific structure and because DSP 7 Computatio of DFT CTU EE Computatio of DFT Efficiet algoithms fo computig DFT Fast Fouie Tasfom. a Compute oly a few poits out of all poits b Compute all poits hat ae the efficiecy citeia? umbe of

More information

A Very Simple Approach for 3-D to 2-D Mapping

A Very Simple Approach for 3-D to 2-D Mapping A Very Simple Approach for -D to -D appig Sadipa Dey (1 Ajith Abraham ( Sugata Sayal ( Sadipa Dey (1 Ashi Software Private Limited INFINITY Tower II 10 th Floor Plot No. - 4. Block GP Salt Lake Electroics

More information

Generation of Distributed Arithmetic Designs for Reconfigurable Applications

Generation of Distributed Arithmetic Designs for Reconfigurable Applications Geeratio of Distributed Arithmetic Desigs for Recofigurable Applicatios Christophe Bobda, Ali Ahmadiia, Jürge Teich Uiversity of Erlage-Nuremberg Departmet of computer sciece Am Weichselgarte 3, 91058

More information

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

Lecture 1: Introduction and Strassen s Algorithm

Lecture 1: Introduction and Strassen s Algorithm 5-750: Graduate Algorithms Jauary 7, 08 Lecture : Itroductio ad Strasse s Algorithm Lecturer: Gary Miller Scribe: Robert Parker Itroductio Machie models I this class, we will primarily use the Radom Access

More information

Spectral leakage and windowing

Spectral leakage and windowing EEL33: Discrete-Time Sigals ad Systems Spectral leakage ad widowig. Itroductio Spectral leakage ad widowig I these otes, we itroduce the idea of widowig for reducig the effects of spectral leakage, ad

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

New Fuzzy Color Clustering Algorithm Based on hsl Similarity

New Fuzzy Color Clustering Algorithm Based on hsl Similarity IFSA-EUSFLAT 009 New Fuzzy Color Clusterig Algorithm Based o hsl Similarity Vasile Ptracu Departmet of Iformatics Techology Tarom Compay Bucharest Romaia Email: patrascu.v@gmail.com Abstract I this paper

More information

Chapter 3. Floating Point Arithmetic

Chapter 3. Floating Point Arithmetic COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 3 Floatig Poit Arithmetic Review - Multiplicatio 0 1 1 0 = 6 multiplicad 32-bit ALU shift product right multiplier add

More information

SPIRAL DSP Transform Compiler:

SPIRAL DSP Transform Compiler: SPIRAL DSP Trasform Compiler: Applicatio Specific Hardware Sythesis Peter A. Milder (peter.milder@stoybroo.edu) Fraz Frachetti, James C. Hoe, ad Marus Pueschel Departmet of ECE Caregie Mello Uiversity

More information