On Efficient Concurrent Fault Simulation For Synchronous Sequential Circuits

Size: px
Start display at page:

Download "On Efficient Concurrent Fault Simulation For Synchronous Sequential Circuits"

Transcription

1 ~ On Efficient Concurrent Fault Simulation For Synchronous Sequential Circuits Dong Ho Lee Sudhakar M. Reddy Department of Computer Science Department of Electrical and Computer Engineering University of Iowa Iowa City, IA Abstract In this paper, we report on an efficient fault simulation method for synchronous sequential circuits. The method is based on concurrent fault simulation, and it has the simplicity of deductive fault simulation. Several new ideas to reduce computation time and memory requirement are proposed. New fault simulators wcrc developed to simulate transition faults as well as stuck-at faults. The experimental results demonstrate that the proposed method is an effective method to simulate faults in large synchronous sequential circuits in the workstation environment. 1. Introduction Efficient fault simulators for synchronous scquential circuits are important for the design of large digital circuitshystems. Recently, there has bccn a surge of publications in this area[2-71. Most of them utilize the fact that zero delay simulation is adequate for fault simulation in synchronous sequential circuits. [4] and 171 extended critical path tracing to sequential circuits, but they didn t give adequate experimental results to assess the effcctiveness of their methods. [5] proposes a fault simulator based on parallel simulation method. Concurrent fault simulation method has been successfully used in industry for a long time. Thc success of concurrent fault simulation is due to its flexibility to allow arbitrary delay fault simulation(i.e., the circuit gates may have arbitrary but known propagation delays) and mixed or hierarchical designs. But the concurrent fault simulation method has been criticized to be inefficient as compared to other methods which are specialized to synchronous scquential circuits[5]. In this paper, we introduce efficient fault simulators for synchronous sequential circuits which are based on concurrent fault simulation. The proposed method ha3 the simplicity of dcductivc fault simulation and flexibility and efficiency of concurrent fault simulation. Several improvements to reducc the computa- Lion time and memory requirement arc also proposed. The cxpcrimenral results show that the concurrent fault simulators with the proposed improvements arc competitive with This research has been supported by the SDIOflST Contract No. N J-1793 managed by US office of Naval Research other methods both in computation time and memory requirements. Although test generators and fault simulators for delay faults[ 101 have been reported for combinational circuits, stuck-at fault model has been the only practical fault model for sequential circuit test generation so far. A simpler delay fault model callcd transition fault model has been proposed and fault simulators for stuck-at faults have been augmented to accommodate this fault model[ 113. But no study of transition faults has been reported for sequential circuits in the literature. In this paper, transition fault model for synchronous sequential circuits is introduced and the concurrent fault simulator developed for stuck-at faults is extended to include transition faults. The paper is organized as follows. In Section2, a concurrent fault simulation method is discussed together with several improvements. In Section 3, transition fault model for synchronous sequential circuits is discussed. In Section 4, experimental results are presented followed by a summary in Section Concurrent fault simulation 29th ACM/IEEE Design Automation Conference@ Concurrent simulation is a simulation paradigm rather than an algorithm. Many algorithmic details should be carefully planned to make this paradigm into an effective fault simulator. In concurrent fault simulation, the circuit statc is defined in terms of the collection of gate states. The state of each gate is composed of all input line values and an output line value. The basic idea of concurrent fault simulation is to simulate one good machine and multiple faulty machines at the same time. In Figure l, good machine elements are shown with the white box and faulty machine elements for a fault fare shown with the gray box. Faulty machines are simulated specifically only where they assume different logic values than the good machine value. Each input line of a gate in a faulty machine gets its value either from the good machine or the corresponding faulty machine gate depending on whether the fault is explicit in its fanin gate. For example, the fault element at gate G4 gets its input from the fault element at gate GI in Figure 1.1, but it gets its input value from the good machine element in Figure 1.2 because fault f is not explicit at gate G 1. In concurrent fault simulation, an event generated on a machine propagates only within the machine. In other words, when an event is generated for a faulty machine, x192 $3.00 Q 1992 IEEE

2 only that faulty machine need be processed to propagate the changed value at ils fanout gates. A new fault element is introduced, i.e., diverged, when the propagated event makes the faulty machine assume a logic state which is different from the good state at a gate. n state variable. For example, the fault descriptor holds information about how to evaluate the faulty machine, or whether the fault has already been detected or not. Finally, the fault identifier is a pointer to its fault descriptor and each fault list is terminated with a terminal fault element which has a fault identifier which lies in high end memory location to avoid checking end of list during fault list processing. Fig 1.1 fault f explicit in G1 Fig 1.2 fault f implicit in G1 Figurel. Concurrent Fault Simulation On the other hand, when an existing fault element assumes the same logic state as the good machine state at a gate, the fault element should be removed, i.e., converged. In Figure 1, when the faulty machine assumed the same output value as the good machine value at gate G1, this event is propagated to gate G3 and G4. The fault element at gate G3 is removed, but the fault element at gate G4 should remain since the fault effect has also propagated through G2. The convergence and divergence can be determined by simply comparing logic state after gate evaluation, In some case, an event is generated when a faulty machine is converged at the gate. In this case, at the next level of simulation, the fault element of the fanout gate will copy its input value from the good machine element. In addition, a redundant copy of gate output value is kept at the input pin of its fanouts so that it is only necessary to compare the input fault lists of the gate which have events with its fault list. Furthermore, the state of a gate is packed into a word so that the output can be efficiently evaluated by table look up. Fast evaluation is extremely important in concurrent fault simulation because each faulty gate is explicitly evaluated one by one. Normally this is achieved through table look up. 2.1 Simplification Unlike in the originally proposed concurrent simulation method[9], the data structure of the proposed fault simulators adopts the simplicity of deductive fault simulation. As shown in Figure 2, each gate has a fault list and each element of the fault list is composed of a fault identifier, a state variable, and a pointer to the next element. The fault idenwier is a unique identifier which differentiates a fault from all other faults. Furthermore, a fault descriptor is associated with the fault identifier and the information central to the fault is stored in the fault descriptor and each fault element keeps only the local information, which is specific to the faulty machine state at the location, in the Fault Elements Fault Descriptors Fault E : input 2 of gate e stuck at 0 Fault G : output of gate g stuck at 0. dropped Figure 2. Data Structure In the following, we will briefly discuss arbitrary delay fault simulation using the given data structure. For convenience, we assume two phase fault simulation scheme. Assuming that delays are associated with gates, events are posted for all changing elements after gate evaluation. When delays vary widely among different faulty machines, it is advantageous to queue each faulty machine element independently into the timing queue, but for unit delay simulation, one can use a list event to queue a collection of faulty machine elements whose output values change at the same time. In the first phase of fault simulation, the matured events are fetched to assign logic values to gate outputs. When list events are used, the actual output values of fault elements are set via list traversal. The fanout gate identifiers are entered into a local queue, not the timing queue, for the second phase. When the event, i.e., the gate identifier, is fetched in second phase, the multi-list traversal technique[3] is employed to copy the logic values from the source fault lists to the destination fault list. Then the fault elements are evaluated and when the evaluated output values are different from previous values, events are posted. Fault simulation procedure for synchronous circuits is much simpler than described above because one can use zero delay simulation. For zero delay fault simulation, only the second phase is necessary since the evaluated value can be assigned directly on the output as long as the gate evaluation is done orderly according to its level, where the level of a gate is assigned so that all its fanins are at the lower levels. Furthermore, the timing queue is no longer necessary and only gate identifiers are "scheduled" into the event queue when there is an event on at least one machine element. Note that, in the general simulation 328 T

3 described before, a fault element is treated the same as the good machine gate so that it should be scheduled to be evaluated after a given delay. 2.2 Further improvements Among the three improvements discussed in this subsection, both fault dropping scheme and invisible fault handling scheme are good for arbitrary delay fault simulation as well as zero delay fault simulation. Fault dropping is very important in concurrent fault simulation because dropped fault effects should be eliminated as soon as possible for efficient fault simulation. Nevertheless, the fault elements for a detected fault are scattered around the circuit and there is no effective scheme to search them without scanning the whole circuit. We adopted an event driven fault dropping scheme. In this scheme fault elements for detected faults are removed while the fault list to which it belongs is traversed. This scheme can be implemented efficiently in the fault simulation procedure discussed before. The fault identifier of terminal element points to an imaginary fault descriptor which is never dropped. With this provision together with the proposed data structure, only several machine instructions are enough to check if a fault has been detected already. Most concurrent fault simulators keep invisible faults. Invisible faults are the fault elements which have the same output value as the good machine value. Invisible faults can be avoided if one copies the logic values of all input values when a new fault element is introduced. We use the method which keeps two fault lists, one for visible faults and the other for invisible faults, to avoid examining invisible faults. We found that splitting fault lists help reduce computation time. For further details, we refer to D31. Concurrent fault simulation uses table look up method to speed up gate evaluation. As a result, for example, evaluating an inverter takes the same amount of time as evaluating a multinput gate. In order to take advantage of table look up mechanism, it is advantageous to partition the circuit into macro modules. memory requirement because many fault elements are collapsed into one fault element. The number of fault elements is also reduced from 3 elements to 1 element in Figure 3. If the look up table overhead is not too high, macro extraction reduces the total memory requirement. We found that the speed improvement and decrease in memory are both significant for large circuits when macros are used. Although macros are limited to be fanout free in this paper, they can be any combinational circuits with limited number of inputs. When reconvergent macros are used, stuck at faults may be translated into functional faults which can be represented by look up table entries. The functional faults can be evaluated efficiently because each fault descriptor holds an adequate look up table entry corresponding the fault. Further details can be found in Wl. 3. Simulating transition faults Some physical faults do not show up when test clock cycle is much larger than the operational cycle. The transition fault is a gross delay fault where a gate is experiencing a modestly large delay. In general, any gate delay fault which delays a gate transition slightly longer than its slack time can be considered to be a transition fault. If the delay is extremely large, it acts as if it is a stuck-at fault[ 121. Two transition faults are associated with each gate input, i.e., 0 to 1 transition fault and 1 to 0 transition. If a gate input is experiencing a 0(1) to l(0) transition fault, the 0(1) to l(0) transition is delayed. This affects both the primary output values and the values sampled into flip-flops. Since the delay is not too large, after the primary outputs and flip-flops are sampled, the combinational part of the circuit is assumed to settle down correctly. Strictly speaking, this implies that the delay defect does not increase the delay at the fault site by more than one clock cycle, which we believe is reasonable. Table 1 shows the complete logic value relationship of this fault model. In Table 1, PV denotes the value when transition does not occur due to the transition fault and CV denotes the value when the transition is complete. I 0 -> 1 0 -> 0 0 -> x Table 1. Transition Table I 1 -> 0 Fault I PV ICV IFV ICV I X X X Transition I 0 -> 1 Fault Figure 3. Macro Extraction In Figure 3, a possible macro is enclosed by a dashed box. Macro extraction collapses many events into an event to save computation time. In Figure3, the number of gates to evaluate can be reduced from 3 evaluations to 1 evaluation. More importantly, macro extraction reduces the x ->o x->x 0 0 Ix 0 x X Ix X 329

4 Figure 4. Transition Fault We illustrate our model through an example given next. In Figure 4, consider a 0 to 1 transition Cault at the input 1 of gate G 1. Assumc that all signal line values are set to X s initially. To detect this fault the 01 input sequence is cnough. When the first input 0 is applied, logic value 1 will appear at the output. When the second input 1 is applicd, the value of input 2 of gate G1 is changed from X to 1. Since there is no Cault there, second input will be immediately set to 1. So there exists a sensitized path from the input I to the output 0. The good machine will output 0 at the sampling time, but the faulty machine value remains at logic value 1. Transition fault 1 to 0 at thc same gate input is more interesting. When this fault exists at input 1, it is necessary to use the scqucnce 00 to set the flip-flop. When a logic value 1 is applied as a third input, input 2 will assume logic value 1. Thc flip-flop input will have a logic value 1. If logic 0 is applied at the input 1, there is a transition, but the fault would not be detected because the latched value 1 will cause input 2 to assume logic value 0 to block the sensitizing path. It is necessary to apply logic 1 at the input again to make input 2 to assume logic value 0. Finally when a logic 0 is applied to input 1, input 2 will be changed to logic 1 before the transition fault affects the gate. The concurrent fault simulation method as proposed is ideal to simulate the transition faults because all previous input values of all the gates are available. To simulate the transition faults, the combinational part of the synchronous sequential circuit is simulated twice. In the first simulation, it is assumed that all faulty transitions do not fire to dctennine the propagated faults and, in addition, to latch (master part of) the flip-flops. Thcn the network is simulated again after firing all the transitions. Note that the Cault effects are latched into (master part of) the flip-flops at the first simulation, but (slave part of) the flip-flops should not be used in evaluation to avoid the ncw flipflop values affccting the second phase simulation. In actual implcmentation, it is possible to simulate transition faults in one pass since the second phase simulation can bc overlapped with the first phase simulation of the next time step, Further details can be found in [13]. 4. Experimental results To determine thc effectiveness of the proposed method, a stuck-at fault simulator based on the procedures discussed in Section 2 was impicmented and run on SUN Sparc 2. The final version is called csim-vm, where V means that visible and invisible faults are kept separately at each gate, and M means that macro modules are extracted. csim- M is a version which does not keep separate lists for visible and invisible faults and csim-v is the version that does not use macro extraction. In Table 2, the statistics of some benchmark circuits and the tests applied are given. These tests were used to evaluate the performance of PROOFS[5] and were provided to us by Professor J.H patel of University of Illinois. In Table 3, we report the CPU times and memory requirements for stuck-at fault simulation using the proposed simulator and PROOFS, all run on the same Sun Sparc 2 workstation. As one can see from the Table 3, both macro extraction and separation of visible/invisible faults consistently reduce the simulation time. Table 3. Deterministic Patterns (I) ckts csim V I csim I csim MV I PROOFS 1 I I-M I I I ~CPU lmem ]CPU lcpu I mem ICPU lmem Macro extraction increases the memory requirement a little bit for small circuits. But for large circuits, it actually reduces the memory requirement considerably. For example, the memory requirement is reduced from 16.2 M to 9.24 M in ~ Upto circuit s1494, csim-mv and PROOFS show comparable performance. For circuit ~5378, csim-mv is about two times faster than PROOFS. Paper

5 For ~35932, csim-mv performs slightly bctter than PROOFS. For somc of the ISCASS9 benchmark circuits, we were able to obtain higher coverage tests using a sequential test generator dcvelopcd by us[14]. In Table 4, we repon the results of fault simulation using thcse tests. Table 4. Deterministic Patterns(l1) 1 I I csim-mv I PROOFS ckts I#ptns lcvg ]CPU IMEM ICPU I MEM synchronous sequential circuits. More efficient fault simulation is possible when hierarchical design information is utilized because the concurrent fault simulation method is inherently suited to hierarchical designs[3]. Furthermore, the method can be extended to simulate faults for large circuits which contain both synchronous and asynchronous designs. Acknowledgment: We would like to thank Professor Janak Patel and his graduate students Vivek Chikermane and Elizabeth Rudnick for answering many questions regarding PROOFS and making PROOFS available to us. Reference In Tablc 5, wc rcport thc random pattcrn simulation results. Wc chosc thc larger onc of ISCAS-89 bcnchmark circuits, ~35932, for which random patterns gave reasonably high fault coverage. The memory requircmcnt of csim-mv in this experimcnt is lower than that shown in Table 3 becausc faults are rather I slowly 1 activated. I 1 Table 5. Random Pattern Simulation I I csim-mv I PROOFS #ptns 1 fltcvg ICPU IMEM ICPU MEM meg sec meg sec In Table 6 we report the transition fault coveragcs of the ISCAS89 benchmark circuits. The stuck at tests are not good tests for transition faults. Fault coverages are in general much less than 50%. Table 6.Transition Fault Simulation I ckts I# flts IMEMICPU I flt I 5. Conclusion In this paper we showed that concurrent fault simulation is a simple and effective method for simulating faults in [ 11 D. B. Armstrong, "A Deductive Method of Simulating Faults in Logic Circuits," IEEE Trans. on Computers, Vol. C-21, No. 5, pp , May, 1972 [2] W. T. Cheng and M. L. Yu, "Differential Fault Simulation - A Fast Method Using Minimal Memory," Proc. 26th Design Automation Conf., pp , June, S. Gai, F. Somenzi and E. Ulrich, "Advanced Techniques for Concurrent Multilevel Simulation," Proc. Intn'l Conf. on Computer-Aided Design, pp , November, P. R. Menon, Y.H. Levendel, and M. Abramovici, "Critical Path Tracing in Sequential Circuits," Proc. Intn'l Conf. on Computer-Aided Design, pp November, 1988 [S] T. M. Niermann, W. T. Cheng, and J. H. Patel, "Proofs: A Fast, Memory Efficient Sequential Circuit Fault Simulator," 27th ACM/IEEE Design Automation Conference. pp [6] K. Son, "Fault Simulation with the Parallel Value List Algorithm," VLSl SYSTEMS DESIGN, pp , December X. Wang, "A Sequential Circuit Fault Simulation by Surrogate Fault Propagation," 1989 International Test Conference, pp [8] S. Seshu, "On an Improved Diagnostic Program," IEEE Trans. on Electronic Computers, Vol. EC-12, no. 12, pp , 1965 [9] E. G. Ulrich and T. Baker, "The Concurrent Simulation of Nearly Identical Digital Networks", 10th Design Automation Workshop, pp , 1973 [lo] V. S. Iyengar, B. K. Rosen. and J. A. Waicukauski, "On Computing the Sixs of Detected Delay Faults, " IEEE Transaction on Computer-Aided Design, March 90, pp [ 111 G. L. Smith, "Model for Delay Faults Based Upon Paths," Proc IEEE International Test Conference, November 1985, pp [ 121 J. A. Waicukauski and E. Lindbloom, "Transition Fault Simulation by Parallel Pattern Single Fault Propagation, 1986 International Conference, pp [ 131 D.H. Lee and S.M. Reddy, "On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits," Technical Memo in preparation, Department of Electrical and Computer Engineering. University of Iowa [I41 D.H. Lee and S.M. Reddy, "A New Test Generation Method for Sequential Circuits,'' Proc. Intn'l Conf. on Computer-Aided Design, pp , November,

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

On Test Generation for Transition Faults with Minimized Peak Power Dissipation

On Test Generation for Transition Faults with Minimized Peak Power Dissipation 30.3 On Test Generation for Transition Faults with Minimized Peak Power Dissipation Wei Li Sudhakar M. Reddy Irith Pomeranz 2 Dept. of ECE School of ECE Univ. of Iowa Purdue University Iowa City, IA 52242

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX s Abstract While previous research has focused on deterministic testing of bridging faults, this paper studies pseudo-random testing of bridging faults and describes a means for achieving high fault coverage

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

A Parallel Implementation of Fault Simulation on a Cluster of. Workstations

A Parallel Implementation of Fault Simulation on a Cluster of. Workstations A Parallel Implementation of Fault Simulation on a Cluster of Workstations Except where reference is made to the work of others, the work described in this thesis is my own or was done in collaboration

More information

Functional Test Generation for Delay Faults in Combinational Circuits

Functional Test Generation for Delay Faults in Combinational Circuits Functional Test Generation for Delay Faults in Combinational Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION

TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION Peter M. Maurer Zhicheng Wang Department of Computer Science and Engineering University of South Florida Tampa, FL 33620 TECHNIQUES FOR UNIT-DELAY COMPILED

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability Prasanti Uppaluri Electrical and Computer Engineering Department, University of Iowa, Iowa City, IA

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

COMPILED CODE IN DISTRIBUTED LOGIC SIMULATION. Jun Wang Carl Tropper. School of Computer Science McGill University Montreal, Quebec, CANADA H3A2A6

COMPILED CODE IN DISTRIBUTED LOGIC SIMULATION. Jun Wang Carl Tropper. School of Computer Science McGill University Montreal, Quebec, CANADA H3A2A6 Proceedings of the 2006 Winter Simulation Conference L. F. Perrone, F. P. Wieland, J. Liu, B. G. Lawson, D. M. Nicol, and R. M. Fujimoto, eds. COMPILED CODE IN DISTRIBUTED LOGIC SIMULATION Jun Wang Carl

More information

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3 Partial Scan Design Methods Based on Internally Balanced Structure Tomoya TAKASAKI Tomoo INOUE Hideo FUJIWARA Graduate School of Information Science, Nara Institute of Science and Technology 8916-5 Takayama-cho,

More information

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load Testability Insertion in Behavioral Descriptions Frank F. Hsu Elizabeth M. Rudnick Janak H. Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract A new synthesis-for-testability

More information

Acceleration Techniques for Dynamic Vector Compaction

Acceleration Techniques for Dynamic Vector Compaction Acceleration Techniques for Dynamic Vector Compaction Anand Raghunathan Department of Electrical Engineering Princeton University, Princeton, NJ 8544 Srimat T. Chakradhar C & C Research Laboratories NEC

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

TEST FUNCTION SPECIFICATION IN SYNTHESIS

TEST FUNCTION SPECIFICATION IN SYNTHESIS TEST FUNCTION SPECIFICATION IN SYNTHESIS Vishwani D. Agrawal and Kwang-Ting Cbeng AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT - We present a new synthesis for testability method in which

More information

Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison Units

Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison Units IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 5, OCTOBER 2001 679 Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison

More information

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2013 Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

On Broad-Side Delay Test

On Broad-Side Delay Test Abstract On Broad-Side Delay Test A broad-side delay test is a form of a scan-based delay test, where the first vector of the pair is scanned into the chain, and the second vector of the pair is the combinational

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG

Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG Andreas Veneris, Robert Chang Magdy. Abadir ep eyedi Abstract Fault equivalence is an

More information

TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION*

TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION* TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION* Peter M. Maurer, Zhicheng Wang Department of Computer Science and Engineering University of South Florida Tampa, FL 33620 Abstract The PC-set method and the

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

Test Point Insertion Based on Path Tracing

Test Point Insertion Based on Path Tracing Test Point Insertion Based on Path Tracing Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA 94305 Abstract This paper presents an innovative method for

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

THE SHADOW ALGORITHM: A SCHEDULING TECHNIQUE FOR BOTH COMPILED AND INTERPRETED SIMULATION ABSTRACT

THE SHADOW ALGORITHM: A SCHEDULING TECHNIQUE FOR BOTH COMPILED AND INTERPRETED SIMULATION ABSTRACT THE SHADOW ALGORITHM: A SCHEDULING TECHNIQUE FOR BOTH COMPILED AND INTERPRETED SIMULATION Peter M. Maurer Department of Computer Science and Engineering University of South Florida Tampa, FL 33620 ABSTRACT

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

Module 5 - CPU Design

Module 5 - CPU Design Module 5 - CPU Design Lecture 1 - Introduction to CPU The operation or task that must perform by CPU is: Fetch Instruction: The CPU reads an instruction from memory. Interpret Instruction: The instruction

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

On Efficient Error Diagnosis of Digital Circuits

On Efficient Error Diagnosis of Digital Circuits On Efficient Error Diagnosis of Digital Circuits Nandini Sridhar Michael S. Hsiao Intel Corporation Bradley Dept. of ECE, Virginia Tech Dupont, WA 98327Blacksburg, VA 246 nandini.sridhar@intel.com mhsiao@vt.edu

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

CONTEST : A CONCURRENT TEST GENERATOR FOR SEQUENTIAL CIRCUITS

CONTEST : A CONCURRENT TEST GENERATOR FOR SEQUENTIAL CIRCUITS CONTEST : A CONCURRENT TEST GENERATOR FOR SEQUENTIAL CIRCUITS Vishwani D. Agrawal AT&T Bell Laboratories, Murray Hill, NJ 07974 Kwang-Ting Cheng University of California, Berkeley, CA 94720 Prathima Agrawal

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits An Implication-based Method to etect Multi-Cycle Paths in Large Sequential Circuits Hiroyuki Higuchi Fujitsu Laboratories Ltd. 4--, Kamikodanaka, Nakahara-Ku, Kawasaki 2-8588, Japan higuchi@flab.fujitsu.co.jp

More information

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

Eliminating False Loops Caused by Sharing in Control Path

Eliminating False Loops Caused by Sharing in Control Path Eliminating False Loops Caused by Sharing in Control Path ALAN SU and YU-CHIN HSU University of California Riverside and TA-YUNG LIU and MIKE TIEN-CHIEN LEE Avant! Corporation In high-level synthesis,

More information

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1 RATS (Reliability and Testability Seminar) Diagnosis of Defects Introducing Voltage Dependences between Nodes By François-Fabien Ferhani 5/27/2003 Ferhani, RATS/SPRING03 Outline Introduction Problems &

More information

Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432

Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432 Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432 T.Vishnu Murty 1, G.Seetha Mahalakshmi 2 M.Tech, Asst. Professor, Dept of Electronics & Communication Engineering, Pragati

More information

AS FEATURE sizes shrink and designs become more complex,

AS FEATURE sizes shrink and designs become more complex, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 10, OCTOBER 2004 1447 Identification of Error-Capturing Scan Cells in Scan-BIST With Applications to System-on-Chip

More information

How Effective are Compression Codes for Reducing Test Data Volume?

How Effective are Compression Codes for Reducing Test Data Volume? How Effective are Compression Codes for Reducing Test Data Volume Anshuman Chandra, Krishnendu Chakrabarty and Rafael A Medina Dept Electrical & Computer Engineering Dept Electrical Engineering & Computer

More information

Delay Fault Diagnosis Using Timing Information

Delay Fault Diagnosis Using Timing Information Delay Fault Diagnosis Using Timing Information Zhiyuan Wang 1 Malgorzata Marek-Sadowska 1 Kun-Han Tsai 2 Janusz Rajski 2 1 Department of Electrical and Computer Engineering 2 Mentor Graphics Corporation

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

PARALLEL MULTI-DELAY SIMULATION

PARALLEL MULTI-DELAY SIMULATION PARALLEL MULTI-DELAY SIMULATION Yun Sik Lee Peter M. Maurer Department of Computer Science and Engineering University of South Florida Tampa, FL 33620 CATEGORY: 7 - Discrete Simulation PARALLEL MULTI-DELAY

More information

Hardware Acceleration

Hardware Acceleration Hardware Acceleration Sungho Kang Yonsei University Outline Introduction Boeing TEGAS Yorktown Simulation Engine Logic Simulation Machine HAL ZYCAD AAP-1 Reconfigurable 2 Why Simulation Engine Speed up

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

I N. k=1. Current I RMS = I I I. k=1 I 1. 0 Time (N time intervals)

I N. k=1. Current I RMS = I I I. k=1 I 1. 0 Time (N time intervals) ESTIMATION OF MAXIMUM CURRENT ENVELOPE FOR POWER BUS ANALYSIS AND DESIGN y S. Bobba and I. N. Hajj Coordinated Science Lab & ECE Dept. University of Illinois at Urbana-Champaign Urbana, Illinois 61801

More information

Quick Look under the Hood of ABC

Quick Look under the Hood of ABC Quick Look under the Hood of ABC A Programmer s Manual December 25, 2006 Network ABC is similar to SIS/MVSIS in that it processes the design by applying a sequence of transformations to the current network,

More information

Extraction Error Diagnosis and Correction in High-Performance Designs

Extraction Error Diagnosis and Correction in High-Performance Designs Extraction Error iagnosis and Correction in High-Performance esigns Yu-Shen Yang 1 J. Brandon Liu 1 Paul Thadikaran 3 Andreas Veneris 1,2 Abstract Test model generation is crucial in the test generation

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Lectures 11 & 12: Synchronous Sequential Circuits Minimization

Lectures 11 & 12: Synchronous Sequential Circuits Minimization Lectures & 2: Synchronous Sequential Circuits Minimization. This week I noted that our seven-state edge detector machine on the left side below could be simplified to a five-state machine on the right.

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn Univerity, Dept. of ECE Soumitra Bose and Vijay Gangaram Intel Corporation, Design Technology Auburn,

More information

Non-Enumerative Path Delay Fault Diagnosis

Non-Enumerative Path Delay Fault Diagnosis Non-Enumerative Path Delay Fault Diagnosis Saravanan Padmanaban Spyros Tragoudas Department of Electrical and Computer Engineering Southern Illinois University Carbondale, IL 6901 Abstract The first non-enumerative

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Incremental Diagnosis of Multiple Open-Interconnects

Incremental Diagnosis of Multiple Open-Interconnects Incremental Diagnosis of Multiple Open-Interconnects J Brandon Liu, Andreas Veneris University of Toronto, Department of ECE Toronto, ON M5S 3G4, Canada {liuji, veneris}@eecgutorontoca Hiroshi Takahashi

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information