VLSI System Testing. Introduction

Size: px
Start display at page:

Download "VLSI System Testing. Introduction"

Transcription

1 ECE 538 VLSI System Testing Krish Chakraarty Test Generation: Part ECE 538 Krish Chakraarty Introduction Classification of test generation methods Fault tale analysis Boolean difference method Propagation, implication and justification procedures -algorithm 9-V algorithm (multiple path sensitization) ECE 538 Krish Chakraarty 2

2 General Approaches Systematic (algorithmic) Fault tale analysis Boolean difference method Fault-oriented methods (-algorithm, POEM) Fault-independent methods (critical path tracing) Exhaustive/pseudoexhaustive Random/pseudorandom ECE 538 Krish Chakraarty 3 Fault Tale Analysis 2-input OR gate a z Test Fault a/0 a/ /0 / z/0 z/ a 00 x x x 0 x x 0 x x x etermine fault tale via simulation and solve covering prolem Covering prolems are NP-complete Computationally infeasile ECE 538 Krish Chakraarty 4 2

3 Fault-Oriented ATPG Procedure Generate_Tests() egin repeat egin Select uncovered fault f Generate test for f Evaluate current fault coverage end until fault coverage > limit, or time runs out end ECE 538 Krish Chakraarty 5 Boolean ifference The Boolean difference of F(x, x 2, x 3,, x n ) with respect to x i is given y F x i = F(x, x 2,,, x 3,, x n ) F(x, x 2,,0, x 3,, x n ) Cofactor w.r.t. x i Cofactor w.r.t. x i Boolean difference provides input comination for sensitized path A test pattern for x i /0 is an input comination that makes x F i x = i A test pattern for x i / is an input comination that makes x i F x = i ECE 538 Krish Chakraarty 6 3

4 a c d e Boolean ifference f g h i j k z To find a test for a/0 or a/, determine the Boolean difference of output z with respect to a: z a =? How to handle internal faults, e.g. j/0? ECE 538 Krish Chakraarty 7 Key Terminology Backtrace: move a goal value ackwards in a circuit to a primary input Backtrack: return to a previous decision point in an algorithm and make an alternative decision -frontier: Set of gates closest to primary output with or on some input Implication: etermine unique signal values that are forced y signal values already assigned Justification: etermine values to unspecified inputs of gates whose outputs are specified (ackward) Propagation (-drive): etermine path values needed to propagate an error signal to a primary output (forward) ECE 538 Krish Chakraarty 8 4

5 Testing Fanout-Free Circuits No (reconvergent) fanout Propagation path from any line is unique Each line justification prolem is independent of all others Test generation for line l/v in a fanout-free circuit egin set all values to X (unknown) Justify(l,v) if v = 0 then Propagate(l,) else Propagate(l,) end ECE 538 Krish Chakraarty 9 Testing Fanout-Free Circuits Error propagation: Propagate(l,err) /* err is or */ egin set l to err if l is PO then return k = the fanout gate of l c = controlling value of k i = inversion of k for every input j of k other than l Justify(j,c) Propagate(k,err i) end l err err ECE 538 Krish Chakraarty 0 5

6 Testing Fanout-Free Circuits Justify(l,val) egin set l to val if l is PI then return /* l is a gate (output) */ c = controlling value of l i = inversion of l inval = val i if(inval = c) then for every input j of l Justify(j,inval) else egin Select one input (j) of l Justify(j,inval) end Line Justification ECE 538 Krish Chakraarty X 0 X 0 l l Functional vs Structural Testing Functional ATPG generate complete set of tests for circuit input-output cominations 29 inputs, 65 outputs: 2 29 patterns Using GHz ATE, would take 2.5 x 0 22 years Structural test: No redundant adder hardware, 64 it slices Each with 27 faults (using fault equivalence) At most 64 x 27 = 728 faults (tests) Takes s on GHz ATE esigner gives small set of functional tests augment with structural tests to oost coverage to 98 + % ECE 538 Krish Chakraarty 2 6

7 Algorithm Completeness efinition: Algorithm is complete if it ultimately can search entire inary decision tree, as needed, to generate a test Untestale fault no test for it even after entire tree searched Cominational circuits only untestale faults are redundant, showing the presence of unnecessary hardware ECE 538 Krish Chakraarty 3 -Algorithm a c d A B B/ E C G z e H F ecision Implication Comment B = E =, A = 0, a = 0 Activate fault = C = Propagate via C F = 0 z = End of -drive H = 0 e = 0 Justify F c = 0 () Test: ace = 000 Justify A ECE 538 Krish Chakraarty 4 7

8 -Algorithm a c d A B B/ E C G z e H F ecision Implication Comment B = E =, A = 0, a = 0 Activate fault H = F = Propagate via F C = 0 z = End of -drive = 0 e = 0 Justify C c = 0 or e = 0 Test: ace = 0000 Justify A ECE 538 Krish Chakraarty 5 c a d Fault e/0 f e g -Algorithm j h i k z Single path sensitization does not always work! ecision Implication Action e =, c = 0 i =, f =, h = 0 Activate fault, propagate via i j = k = 0 z = Contradiction End of -drive d =, = g = 0, e = 0 Justify j = 0, k = 0 (Backtrack) c = 0, d = 0 i = j = propagate through i and j ECE 538 Krish Chakraarty 6 8

9 Comments on the -Algorithm Based on propagation (primary procedure), justification and implication In complete form, guarantees test generation ut may require multiple path sensitization (computationally expensive) Practical restrictions: Single path sensitization only Limits placed on acktracking time (aorted faults) ECE 538 Krish Chakraarty 7 9-V Algorithm Nine logic values, specified as good/ad pairs: /, 0/0, /0, 0/, u/u, /u, 0/u, u/0, u/ Example of logic operations:.x = /0.u/u = u/0, i.e. provides more information than the X outcome for 5-valued algera Reduces acktracking When there are k possile paths for error propagation, -algorithm may try all 2 k - cominations of paths 9-V enumerates only k ways of propagation ECE 538 Krish Chakraarty 8 9

10 Path Sensitization Fault Sensitization 2 Fault Propagation 3 Line Justification ECE 538 Krish Chakraarty 9 Path Sensitization Try path f h k L locked at j, since there is no way to justify the on i 0 ECE 538 Krish Chakraarty 20 0

11 Path Sensitization Try simultaneous paths f h k L and g i j k L locked at k ecause -frontier (chain of or ) disappears ECE 538 Krish Chakraarty 2 Path Sensitization Final try: path g i j k L test found! 0 0 ECE 538 Krish Chakraarty 22

12 Random Test Generation Flow chart for method Use to get tests for 60-80% of faults, then switch to - algorithm or other ATPG for rest ECE 538 Krish Chakraarty 23 2

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty Test Generation: 2 ECE 538 Krish Chakrabarty Outline Problem with -Algorithm POEM FAN Fault-independent ATPG Critical path tracing Random test generation Redundancy

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 5 Combinational Circuit Test Generation (Chapter 7) Said Hamdioui Computer Engineering Lab elft University of Technology 29-2 Learning aims of today

More information

Pinaki Mazumder. Digital Testing 1 PODEM. PODEM Algorithm. PODEM Flow Chart

Pinaki Mazumder. Digital Testing 1 PODEM. PODEM Algorithm. PODEM Flow Chart POEM Algorithm POEM IBM introduced semiconductor RAM memory into its mainframes late 970 s Memory had error correction and translation circuits improved reliability -ALG unable to test these circuits!

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

UMBC. space and introduced backtrace. Fujiwara s FAN efficiently constrained the backtrace to speed up search and further limited the search space.

UMBC. space and introduced backtrace. Fujiwara s FAN efficiently constrained the backtrace to speed up search and further limited the search space. ATPG Algorithms Characteristics of the three main algorithms: Roth s -Algorithm (-ALG) defined the calculus and algorithms for ATPG using -cubes. Goel s POEM used path propagation constraints to limit

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM

IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM SACHIN DHINGRA ELEC 7250: VLSI testing OBJECTIVE: Write a test pattern generation program using the PODEM algorithm. ABSTRACT: PODEM (Path-Oriented Decision

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

1 Model checking and equivalence checking

1 Model checking and equivalence checking 978--52-85972- - Practical Design Verification Model checking and equivalence checking Masahiro Fujita. Introduction Owing to the advances in semiconductor technology, a large and complex system that has

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

Test Generation for Asynchronous Sequential Digital Circuits

Test Generation for Asynchronous Sequential Digital Circuits Test Generation for Asynchronous Sequential Digital Circuits Roland Dobai Institute of Informatics Slovak Academy of Sciences Dúbravská cesta 9, 845 07 Bratislava, Slovakia roland.dobai@savba.sk Abstract

More information

L4: Binary Decision Diagrams. Reading material

L4: Binary Decision Diagrams. Reading material L4: Binary Decision Diagrams de Micheli pp. 75-85 Reading material R. Bryant, Graph-ased algorithms for Boolean function manipulation, IEEE Transactions on computers, C-35, No 8, August 1986; can e downloaded

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Binary Decision Diagrams (BDDs) Pingqiang Zhou ShanghaiTech University

Binary Decision Diagrams (BDDs) Pingqiang Zhou ShanghaiTech University Binary Decision Diagrams (BDDs) Pingqiang Zhou ShanghaiTech University Computational Boolean Algera Representations Applying unate recursive paradigm (URP) in solving tautology is a great warm up example.

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction The advent of synthesis systems for Very Large Scale Integrated Circuits (VLSI) and automated design environments for Application Specific Integrated Circuits (ASIC) have allowed

More information

Sequential Circuit Testing 3

Sequential Circuit Testing 3 Sequential Circuit Testing 3 Recap: Approaches State table analysis Machine identification (checking sequence) method Time-frame expansion Misc. Issues Controlling and observing internal states of a sequential

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VIII Lecture-I Fault Simulation

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VIII Lecture-I Fault Simulation Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VIII Lecture-I Fault Simulation Introduction to Test Pattern Generation The procedure to generate a test pattern for a given

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Supplement to. Logic and Computer Design Fundamentals 4th Edition 1

Supplement to. Logic and Computer Design Fundamentals 4th Edition 1 Supplement to Logic and Computer esign Fundamentals 4th Edition MORE OPTIMIZTION Selected topics not covered in the fourth edition of Logic and Computer esign Fundamentals are provided here for optional

More information

DFA: Automata where the next state is uniquely given by the current state and the current input character.

DFA: Automata where the next state is uniquely given by the current state and the current input character. Chapter : SCANNING (Lexical Analysis).3 Finite Automata Introduction to Finite Automata Finite automata (finite-state machines) are a mathematical way of descriing particular kinds of algorithms. A strong

More information

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

CSE Discrete Structures

CSE Discrete Structures CSE 2315 - Discrete Structures Homework 3- Solution - Fall 2010 Due Date: Oct. 28 2010, 3:30 pm Sets 1. Rewrite the following sets as a list of elements. (8 points) a) {x ( y)(y N x = y 3 x < 30)} {0,

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Independence Fault Collapsing and Concurrent Test Generation

Independence Fault Collapsing and Concurrent Test Generation Independence Fault Collapsing and Concurrent Test Generation Except where reference is made to the work of others, the work described in this thesis is my own or was done in collaboration with my advisory

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

Decision tables. Combinational Models. Necessary Characteristics of the Implementation. Decision tables. Deriving decision tables

Decision tables. Combinational Models. Necessary Characteristics of the Implementation. Decision tables. Deriving decision tables ombinational Models Generating test cases when the test model is a decision table Textbook Reading: hapter 6 ecision tables Ideal representation for a test model for the following reasons: Straightforward

More information

CRITICAL PATH TRACING - AN ALTERNATIVE TO FAULT SIMULATION

CRITICAL PATH TRACING - AN ALTERNATIVE TO FAULT SIMULATION CRITICAL PATH TRACING - AN ALTERNATIVE TO FAULT SIMULATION M. Abramovici P. R. Menon D. T. Miller Bell Laboratories Naperville, Illinois 6566 ABSTRACT We present an alternative to fault simulation, referred

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced Digital Logic Design EECS 303 http://ziyang.eecs.northwestern.edu/eecs303/ Teacher: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline 1. 2. 2 Robert Dick

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

Combinational Equivalence Checking

Combinational Equivalence Checking Combinational Equivalence Checking Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab. Dept. of Electrical Engineering Indian Institute of Technology Bombay viren@ee.iitb.ac.in

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Automatic Design Validation Framework for HDL Descriptions via RTL ATPG

Automatic Design Validation Framework for HDL Descriptions via RTL ATPG Automatic Design Validation Framework for HDL Descriptions via RTL ATPG Liang Zhang and Michael Hsiao Department of ECE, Virginia Tech Blacksburg, VA, 24061, USA liang,hsiao @vt.edu Indradeep Ghosh Fujitsu

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS

SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS BY DAVID WING YIN LEUNG, B. ENG. & MGT. (COMPUTER)

More information

Hierarchical Test Generation Based. on Alternative Graph Models

Hierarchical Test Generation Based. on Alternative Graph Models Hierarchical Test Generation Based on Alternative Graph Models A Master Thesis Submitted to the Computer Engineering and Diagnostics Department of the Institute of Computer Engineering In fulfillment of

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Overview Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard

More information

ECE468 Computer Organization & Architecture. The Design Process & ALU Design

ECE468 Computer Organization & Architecture. The Design Process & ALU Design ECE6 Computer Organization & Architecture The Design Process & Design The Design Process "To Design Is To Represent" Design activity yields description/representation of an object -- Traditional craftsman

More information

CSE241 VLSI Digital Circuits UC San Diego

CSE241 VLSI Digital Circuits UC San Diego CSE241 VLSI Digital Circuits UC San Diego Winter 2003 Lecture 05: Logic Synthesis Cho Moon Cadence Design Systems January 21, 2003 CSE241 L5 Synthesis.1 Kahng & Cichy, UCSD 2003 Outline Introduction Two-level

More information

A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithmetic with Decomposed LUT

A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithmetic with Decomposed LUT IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 7, Issue 2 (Jul. - Aug. 2013), PP 13-18 A Novel Approach of Area-Efficient FIR Filter

More information

On Efficient Error Diagnosis of Digital Circuits

On Efficient Error Diagnosis of Digital Circuits On Efficient Error Diagnosis of Digital Circuits Nandini Sridhar Michael S. Hsiao Intel Corporation Bradley Dept. of ECE, Virginia Tech Dupont, WA 98327Blacksburg, VA 246 nandini.sridhar@intel.com mhsiao@vt.edu

More information

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG HIGH QUALITY COMPACT DELAY TEST GENERATION A Dissertation by ZHENG WANG Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of

More information

236 Chapter 8. SEQUENTIAL CIRCUIT TEST GENERATION

236 Chapter 8. SEQUENTIAL CIRCUIT TEST GENERATION 236 Chapter 8. SEQUENTIAL CIRCUIT TEST GENERATION PI vector k 1 PI vector k Feedback set PPI C C C C C C C C (CK) FMCK FMCK FMCK (CK) FMCK FMCK FMCK PPO PPO Asynchronous signal stabilization Asynchronous

More information

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits An Implication-based Method to etect Multi-Cycle Paths in Large Sequential Circuits Hiroyuki Higuchi Fujitsu Laboratories Ltd. 4--, Kamikodanaka, Nakahara-Ku, Kawasaki 2-8588, Japan higuchi@flab.fujitsu.co.jp

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

A B AB CD Objectives:

A B AB CD Objectives: Objectives:. Four variables maps. 2. Simplification using prime implicants. 3. "on t care" conditions. 4. Summary.. Four variables Karnaugh maps Minterms A A m m m3 m2 A B C m4 C A B C m2 m8 C C m5 C m3

More information

SOLUTION TO FINAL EXAM PROBLEMS

SOLUTION TO FINAL EXAM PROBLEMS SOLUTION TO FINAL EXAM PROBLEMS Prolem. Fast Transforms and Butterflies. (a) raw a kernel of a Fast ReedMuller Transform. Explain on which formula of Boolean Algera it is ased. () Illustrate transformation

More information

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION MULTI-NODE STTI LOGI IMPLITIONS FOR REDUNDNY IDENTIFITION Kabir Gulrajani and Michael S. Hsiao Intel orporation, Dupont, W Department of Electrical and omputer Engineering, Rutgers University, Piscataway,

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

Additional Slides to De Micheli Book

Additional Slides to De Micheli Book Additional Slides to De Micheli Book Sungho Kang Yonsei University Design Style - Decomposition 08 3$9 0 Behavioral Synthesis Resource allocation; Pipelining; Control flow parallelization; Communicating

More information

Defect Tolerance in VLSI Circuits

Defect Tolerance in VLSI Circuits Defect Tolerance in VLSI Circuits Prof. Naga Kandasamy We will consider the following redundancy techniques to tolerate defects in VLSI circuits. Duplication with complementary logic (physical redundancy).

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

ABC basics (compilation from different articles)

ABC basics (compilation from different articles) 1. AIG construction 2. AIG optimization 3. Technology mapping ABC basics (compilation from different articles) 1. BACKGROUND An And-Inverter Graph (AIG) is a directed acyclic graph (DAG), in which a node

More information

y ax bx c y a x h 2 Math 11 Pre-Cal Quadratics Review

y ax bx c y a x h 2 Math 11 Pre-Cal Quadratics Review Math 11 Pre-Cal Quadratics Review A quadratic function can e descried as y ax x c (or equivalent forms, see elow). There are an infinite numer of solutions (x,y pairs) to a quadratic function. If we plot

More information

Bottom Up Parsing. Shift and Reduce. Sentential Form. Handle. Parse Tree. Bottom Up Parsing 9/26/2012. Also known as Shift-Reduce parsing

Bottom Up Parsing. Shift and Reduce. Sentential Form. Handle. Parse Tree. Bottom Up Parsing 9/26/2012. Also known as Shift-Reduce parsing Also known as Shift-Reduce parsing More powerful than top down Don t need left factored grammars Can handle left recursion Attempt to construct parse tree from an input string eginning at leaves and working

More information

ECE260B CSE241A Winter Logic Synthesis

ECE260B CSE241A Winter Logic Synthesis ECE260B CSE241A Winter 2007 Logic Synthesis Website: /courses/ece260b-w07 ECE 260B CSE 241A Static Timing Analysis 1 Slides courtesy of Dr. Cho Moon Introduction Why logic synthesis? Ubiquitous used almost

More information

A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical Test Paths

A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical Test Paths A Diagnosis and Design-for-Debug Methodology ased on Hierarchical Test s

More information

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing EE878 Special Topics in VLSI Computer Arithmetic for Digital Signal Processing Part 6b High-Speed Multiplication - II Spring 2017 Koren Part.6b.1 Accumulating the Partial Products After generating partial

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Recent Results from Analyzing the Performance of Heuristic Search

Recent Results from Analyzing the Performance of Heuristic Search Recent Results from Analyzing the Performance of Heuristic Search Teresa M. Breyer and Richard E. Korf Computer Science Department University of California, Los Angeles Los Angeles, CA 90095 {treyer,korf}@cs.ucla.edu

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn Univerity, Dept. of ECE Soumitra Bose and Vijay Gangaram Intel Corporation, Design Technology Auburn,

More information

1. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z

1. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z CS W3827 05S Solutions for Midterm Exam 3/3/05. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2.

More information

A Toolbox for Counter-Example Analysis and Optimization

A Toolbox for Counter-Example Analysis and Optimization A Toolbox for Counter-Example Analysis and Optimization Alan Mishchenko Niklas Een Robert Brayton Department of EECS, University of California, Berkeley {alanmi, een, brayton}@eecs.berkeley.edu Abstract

More information

SPLIT CIRCUIT MODEL FOR TEST GENERATION

SPLIT CIRCUIT MODEL FOR TEST GENERATION SPLT CRCUT MODEL FOR TEST GENERATON Wu-Tung Cheng AT&T Engineering Research Center Princeton, NJ 854 Tel. (69) 639-2422 ABSTRACT Over the years, the D-algorithm has been successfully used to generate tests

More information

DEPTH-FIRST SEARCH A B C D E F G H I J K L M N O P. Graph Traversals. Depth-First Search

DEPTH-FIRST SEARCH A B C D E F G H I J K L M N O P. Graph Traversals. Depth-First Search PTH-IRST SRH raph Traversals epth-irst Search H I J K L M N O P epth-irst Search 1 xploring a Labyrinth Without etting Lost depth-first search (S) in an undirected graph is like wandering in a labyrinth

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Concurrent & Distributed 7Systems Safety & Liveness. Uwe R. Zimmer - The Australian National University

Concurrent & Distributed 7Systems Safety & Liveness. Uwe R. Zimmer - The Australian National University Concurrent & Distributed 7Systems 2017 Safety & Liveness Uwe R. Zimmer - The Australian National University References for this chapter [ Ben2006 ] Ben-Ari, M Principles of Concurrent and Distributed Programming

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

A Novel SAT All-Solutions Solver for Efficient Preimage Computation

A Novel SAT All-Solutions Solver for Efficient Preimage Computation A Novel SAT All-Solutions Solver for Efficient Preimage Computation Bin Li Department of ECE Virginia Tech. Blacksburg, VA, 24061 Michael S. Hsiao Department of ECE Virginia Tech. Blacksburg, VA, 24061

More information

Real Digital Problem Set #6

Real Digital Problem Set #6 Real igital Problem et #6. (2 points) ketch a block diagram for a magnitude comparator bit-slice circuit. Create K-maps to define the bit-slice circuit, and use them to find optimal logic equations. ketch

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado.

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado. LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS by Gary D. Hachtel University of Colorado Fabio Somenzi University of Colorado Springer Contents I Introduction 1 1 Introduction 5 1.1 VLSI: Opportunity and

More information

VLSI System Design Part II : Logic Synthesis (1) Oct Feb.2007

VLSI System Design Part II : Logic Synthesis (1) Oct Feb.2007 VLSI System Design Part II : Logic Synthesis (1) Oct.2006 - Feb.2007 Lecturer : Tsuyoshi Isshiki Dept. Communications and Integrated Systems, Tokyo Institute of Technology isshiki@vlsi.ss.titech.ac.jp

More information

Efficient Constraint Extraction for Based Processor Self-Test Generation. Kambe, Kazuko; Iwagaki, Tsuyoshi; In Author(s) Fujiwara, Hideo

Efficient Constraint Extraction for Based Processor Self-Test Generation. Kambe, Kazuko; Iwagaki, Tsuyoshi; In Author(s) Fujiwara, Hideo JAIST Reposi https://dspace.j Title Efficient Constraint Extraction for Based Processor Self-Test Generation Kambe, Kazuko; Iwagaki, Tsuyoshi; In Author(s) Fujiwara, Hideo Citation Proceedings. 14th Asian

More information

ECE260B CSE241A Winter Logic Synthesis

ECE260B CSE241A Winter Logic Synthesis ECE260B CSE241A Winter 2005 Logic Synthesis Website: / courses/ ece260bw05 ECE 260B CSE 241A Static Timing Analysis 1 Slides courtesy of Dr. Cho Moon Introduction Why logic synthesis? Ubiquitous used almost

More information