Application Brief 117 Barrel Shifters in FLEX 8000 Devices. May 1994, ver. 2 Application Brief 117. Product Term v Speed 16 Bits v Area 32 Bits

Size: px
Start display at page:

Download "Application Brief 117 Barrel Shifters in FLEX 8000 Devices. May 1994, ver. 2 Application Brief 117. Product Term v Speed 16 Bits v Area 32 Bits"

Transcription

1 pplication rief 117 arrel Shifters in FLEX 8000 evices arrel Shifters in FLEX 8000 evices May 1994, ver. 2 pplication rief 117 Summary Files using the techniques described in this application brief are available from the ltera S at (408) in the following self-extracting file: arrel shifters are circuits that perform a binary shift on a given input. Unlike a conventional shifter, which shifts data bits one position to the right or left, a barrel shifter can shift data to any position. ata bits shifted out at one end of a barrel shifter re-appear at the other end. This application brief describes two barrel shifter designs that are optimized for the FLEX 8000 architecture: one is optimized for area, the other is optimized for speed. esign techniques described in this application brief can be used to create design files optimized for the following characteristics (numbers in parentheses are for the speed-optimized barrel shifter): ab_117.exe esign Goals: esign Results: rchitecture Optimization Width Logic ells Speed (MHz) v Look-Up Table Routability 8 its 24 (38) 32.2 (98) Product Term v Speed 16 its v rea 32 its rea-optimized arrel Shifter Table 1 shows the truth table for an 8-bit barrel shifter that is optimized for area. This design has 8 data bits, a[7..0], and 3 shift control bits, s[s..0]. In this shifter, any shift greater than 7 can be performed by letting s[2..0] = n mod 7, where n is the number of shifts. pplications Table 1. Truth Table for 8-it arrel Shifter S2 S1 S Number of Shifts ltera orporation Page 203

2 arrel Shifters in FLEX 8000 evices pplication rief 117 right barrel shift of 6 positions on the byte ' ' can be described as follows: if S2 = 1, S1 = 1, S0 = 0, and [7..0] = , the output of the circuit is [7..0] = In this example, the two leftmost bits of the input byte have been shifted to the two rightmost positions. See Figure 1. Figure 1. 8-it arrel Shifter S0 S1 S Page 204 ltera orporation

3 pplication rief 117 arrel Shifters in FLEX 8000 evices The barrel shifter design in Figure 1 consists of eight 2-to-1 multiplexers arranged into 3 rows with 8 multiplexers per row. Each row of multiplexers has a common select line where S0 feeds the first row, S1 the second, and S2 the third. 1 in the first row (S0 = 1) represents a shift of one bit to the right. When S1 = 1, the data is shifted by 2 bits; when S2 = 1, the data is shifted by 4 bits. When any of the shift control bits are zero, data is passed through the row of multiplexers to the next row. For example, when S0 = 1, the first row of multiplexers shifts one space to the right; when S1 = 0, the second row of multiplexers passes straight down without shifting; when S2 = 1, the third row of multiplexers shifts four spaces to the right. With these inputs, the total shift in Figure 1 is five positions. Speed- Optimized arrel Shifter The speed-optimized barrel shifter design builds upon the first design and uses pipelining for synchronizaton. In pipelining, registers are inserted between combinatorial logic, decreasing register-to-register delays and increasing operating frequency. The second design is partitioned into stages with a register inserted between each intermediate stage. In this case, an intermediate stage corresponds to a shift performed by a single row. Figure 2 shows an 8-bit pipelined barrel shifter created by inserting registers before each row of multiplexers. [7..0] is registered before feeding the first 2-to-1 multiplexer. When pipelining a design, you must insert the pipelining registers so that the correct inputs correspond to the correct data byte at each stage. In Figure 2, S2 requires three pipeline registers, S1 requires two, and S0 requires one for its row of multiplexers. With pipelining, this design runs at 98 MHz. For more information about pipelining, refer to pplication Note 36 (esigning with FLEX 8000 evices) in this handbook. pplications ltera orporation Page 205

4 arrel Shifters in FLEX 8000 evices pplication rief 117 Figure 2. 8-it arrel Shifter with Pipelining [7..0] 8 LK S1 8-it 2-to-1 8-it Register S2 8-it 2-to-1 8-it Register S3 8-it 2-to-1 8-it Register 8 [7..0] Page 206 ltera orporation

5 opyright 1995, 1996 ltera orporation, 2610 Orchard Parkway, San Jose, alifornia 95134, US, all rights reserved. y accessing any information on this -ROM, you agree to be bound by the terms of ltera s Legal Notice.

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

Introduction to Actel FPGA Architecture

Introduction to Actel FPGA Architecture Introduction to ctel FPG rchitecture pplication Note 65 This overview of the different ctel device families covers the major architectural features in sufficient detail to ensure the reader is familiar

More information

Design Tools for 100,000 Gate Programmable Logic Devices

Design Tools for 100,000 Gate Programmable Logic Devices esign Tools for 100,000 Gate Programmable Logic evices March 1996, ver. 1 Product Information Bulletin 22 Introduction The capacity of programmable logic devices (PLs) has risen dramatically to meet the

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital hardware modules that accomplish a specific information-processing task. Digital systems vary in

More information

A High Performance 32-bit ALU for Programmable Logic

A High Performance 32-bit ALU for Programmable Logic A High Performance -bit ALU for Programmable Logic Paul Metzgen Altera European Technology enter Holmers Farm Way High Wycombe HP12 4XF, UK pmetzgen@altera.com ASTT The Arithmetic-Logic-Unit (ALU) is at

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

Implementing FIR Filters

Implementing FIR Filters Implementing FIR Filters in FLEX Devices February 199, ver. 1.01 Application Note 73 FIR Filter Architecture This section describes a conventional FIR filter design and how the design can be optimized

More information

On-Chip Memory Implementations

On-Chip Memory Implementations On-Chip Memory Implementations Using Cyclone Memory Blocks March 2003, ver. 1.1 Application Note 252 Introduction Cyclone devices feature embedded memory blocks that can be easily configured to support

More information

Using TriMatrix Embedded Memory Blocks

Using TriMatrix Embedded Memory Blocks Using TriMatrix Embedded Memory Blocks in Stratix & Stratix GX evices November 2002, ver. 2.0 Application Note 203 Introduction TriMatrix Memory Stratix and Stratix GX devices feature the TriMatrix memory

More information

Le L c e t c ur u e e 2 To T p o i p c i s c t o o b e b e co c v o e v r e ed e Variables Operators

Le L c e t c ur u e e 2 To T p o i p c i s c t o o b e b e co c v o e v r e ed e Variables Operators Course Name: Advanced Java Lecture 2 Topics to be covered Variables Operators Variables -Introduction A variables can be considered as a name given to the location in memory where values are stored. One

More information

EKT 422/4 COMPUTER ARCHITECTURE. MINI PROJECT : Design of an Arithmetic Logic Unit

EKT 422/4 COMPUTER ARCHITECTURE. MINI PROJECT : Design of an Arithmetic Logic Unit EKT 422/4 COMPUTER ARCHITECTURE MINI PROJECT : Design of an Arithmetic Logic Unit Objective Students will design and build a customized Arithmetic Logic Unit (ALU). It will perform 16 different operations

More information

Batchu Jeevanarani and Thota Sreenivas Department of ECE, Sri Vasavi Engg College, Tadepalligudem, West Godavari (DT), Andhra Pradesh, India

Batchu Jeevanarani and Thota Sreenivas Department of ECE, Sri Vasavi Engg College, Tadepalligudem, West Godavari (DT), Andhra Pradesh, India Memory-Based Realization of FIR Digital Filter by Look-Up- Table Optimization Batchu Jeevanarani and Thota Sreenivas Department of ECE, Sri Vasavi Engg College, Tadepalligudem, West Godavari (DT), Andhra

More information

IA Digital Electronics - Supervision I

IA Digital Electronics - Supervision I IA Digital Electronics - Supervision I Nandor Licker Due noon two days before the supervision 1 Overview The goal of this exercise is to design an 8-digit calculator capable of adding

More information

System Design Choices

System Design Choices System esign hoices Programmable Logic PL e.g. Lattice ispgl22v10, tmel TF1502 PL Field Programmable Gate rray (FPG) e.g. ltera yclone III, Xilinx rtix-7/zync-7000 Semi-ustom esign Mask Programmable Gate

More information

Lab 7: RPN Calculator

Lab 7: RPN Calculator University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory Lab 7: RPN Calculator The purpose of this lab is: Purpose 1. To get familiar with the use

More information

SDR SDRAM Controller White Paper

SDR SDRAM Controller White Paper SR SRAM Controller escription The Single ata Rate(SR) Synchronous ynamic Random Access Memory(SRAM) Controller provides a simplified interface to industry standard SR SRAM memory. A top level system diagram

More information

Implementing CRCCs. Introduction. in Altera Devices

Implementing CRCCs. Introduction. in Altera Devices Implementing CRCCs in Altera Devices July 1995, ver. 1 Application Note 49 Introduction Redundant encoding is a method of error detection that spreads the information across more bits than the original

More information

Introduction to PEEL TM Arrays

Introduction to PEEL TM Arrays Features ntroduction to PEEL TM s Programmable Electrically Erasable s Programmable Electrically Erasable s Family of medium-density PLs Reprogrammable MOS EEPROM Technology 24, 28, 40 and 44 pins in P,

More information

The CPU Design Kit: An Instructional Prototyping Platform. for Teaching Processor Design. Anujan Varma, Lampros Kalampoukas

The CPU Design Kit: An Instructional Prototyping Platform. for Teaching Processor Design. Anujan Varma, Lampros Kalampoukas The CPU Design Kit: An Instructional Prototyping Platform for Teaching Processor Design Anujan Varma, Lampros Kalampoukas Dimitrios Stiliadis, and Quinn Jacobson Computer Engineering Department University

More information

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT UNIT-III 1 KNREDDY UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT Register Transfer: Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Micro operations Logic

More information

Week 6: Processor Components

Week 6: Processor Components Week 6: Processor Components Microprocessors So far, we ve been about making devices, such such as adders, counters and registers. The ultimate goal is to make a microprocessor, which is a digital device

More information

Designing High-Speed ATM Switch Fabrics by Using Actel FPGAs

Designing High-Speed ATM Switch Fabrics by Using Actel FPGAs pplication Note C105 esigning High-Speed TM Switch Fabrics by Using ctel FPGs The recent upsurge of interest in synchronous Transfer Mode (TM) is based on the recognition that it represents a new level

More information

Binary Logic (review)

Binary Logic (review) Binary Logic (review) Basic logical operators: (Chapter 7 expanded) NOT AND outputs 1 only if both inputs are 1 OR outputs 1 if at lest one input is 1 XOR outputs 1 if exactly one input is 1 a not 0 1

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Combinational Logic Stephen. Edwards Columbia University Summer 7 Combinational Circuits Combinational circuits are stateless. Their output is a function only of the current

More information

Memory System Design. Outline

Memory System Design. Outline Memory System Design Chapter 16 S. Dandamudi Outline Introduction A simple memory block Memory design with D flip flops Problems with the design Techniques to connect to a bus Using multiplexers Using

More information

A High-Performance VLSI Architecture for Advanced Encryption Standard (AES) Algorithm

A High-Performance VLSI Architecture for Advanced Encryption Standard (AES) Algorithm A High-Performance VLSI Architecture for Advanced Encryption Standard (AES) Algorithm N. M. Kosaraju, M. Varanasi & Saraju P. Mohanty VLSI Design and CAD Laboratory Homepage: http://www.vdcl.cse.unt.edu

More information

MICROPROGRAMMED CONTROL

MICROPROGRAMMED CONTROL MICROPROGRAMMED CONTROL Hardwired Control Unit: When the control signals are generated by hardware using conventional logic design techniques, the control unit is said to be hardwired. Micro programmed

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

Crystalfontz Technology * PRELIMINARY * 20x4 and 16x2 intelligent serial interface display command set

Crystalfontz Technology * PRELIMINARY * 20x4 and 16x2 intelligent serial interface display command set Crystalfontz Technology * PRELIMINARY * 20x4 and 16x2 intelligent serial interface display command set This document corresponds with beta code v0.7. Please contact Brent Crosby at (509) 291-3514, (888)

More information

AT40K FPGA IP Core AT40K-FFT. Features. Description

AT40K FPGA IP Core AT40K-FFT. Features. Description Features Decimation in frequency radix-2 FFT algorithm. 256-point transform. -bit fixed point arithmetic. Fixed scaling to avoid numeric overflow. Requires no external memory, i.e. uses on chip RAM and

More information

Contents. Volume 8 Sensing Solutions CA E January V8-T3-61

Contents. Volume 8 Sensing Solutions CA E January V8-T3-61 .9 Product escription pplication escription These unique Inductive Typical pplications Proximity Sensors by Eaton s electrical sector are designed Robotics to be used in extremely small spaces. wide variety

More information

19. Implementing High-Performance DSP Functions in Stratix & Stratix GX Devices

19. Implementing High-Performance DSP Functions in Stratix & Stratix GX Devices 19. Implementing High-Performance SP Functions in Stratix & Stratix GX evices S52007-1.1 Introduction igital signal processing (SP) is a rapidly advancing field. With products increasing in complexity,

More information

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60 M. Sc (CS) (II Semester) Examination, 2012-13 Subject: Computer System Architecture Paper Code: M.Sc-CS-203 Time: Three Hours] [Maximum Marks: 60 Note: Question Number 1 is compulsory. Answer any four

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April SET - 1 II B. Tech II Semester, Supplementary Examinations, April - 2012 SWITCHING THEORY AND LOGIC DESIGN (Electronics and Communications Engineering) Time: 3 hours Max Marks: 80 Answer any FIVE Questions

More information

Programmable Peripheral Application Note 021 Interfacing The PSD3XX To The MC68HC16 and The MC68300 Family of Microcontrollers By Ching Lee

Programmable Peripheral Application Note 021 Interfacing The PSD3XX To The MC68HC16 and The MC68300 Family of Microcontrollers By Ching Lee Programmable Peripheral pplication Note 0 Interfacing The PSXX To The MC68HC16 and The MC680 Family of Microcontrollers By Ching Lee Introduction Typical MC683 Design The PSXX devices are user-configurable

More information

Computer Arithmetic Multiplication & Shift Chapter 3.4 EEC170 FQ 2005

Computer Arithmetic Multiplication & Shift Chapter 3.4 EEC170 FQ 2005 Computer Arithmetic Multiplication & Shift Chapter 3.4 EEC170 FQ 200 Multiply We will start with unsigned multiply and contrast how humans and computers multiply Layout 8-bit 8 Pipelined Multiplier 1 2

More information

CHAPTER 12 REGISTERS AND COUNTERS

CHAPTER 12 REGISTERS AND COUNTERS HPTER 2 REGISTERS N OUNTERS ontents 2. Registers and Register Transfers 2.2 Shift Registers 2.3 esign of inary ounters 2.4 ounters for Other Sequences 2.5 ounter esign Using SR and JK FlipFlops 2.6 erivation

More information

CS 61C Summer 2012 Discussion 11 State, Timing, and CPU (Solutions)

CS 61C Summer 2012 Discussion 11 State, Timing, and CPU (Solutions) State Elements State elements provide a means of storing values, and controlling the flow of information in the circuit. The most basic state element (we re concerned with) is a DQ Flip-Flop: D is a single

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

HD44780U (LCD-II) A single HD44780U can display up to one 8-character line or two 8-character lines.

HD44780U (LCD-II) A single HD44780U can display up to one 8-character line or two 8-character lines. H4478U (LC-II) (ot Matrix Liquid Crystal isplay Controller/river) E-27-272(Z) '99.9 Rev.. escription The H4478U dot-matrix liquid crystal display controller and driver LSI displays alphanumerics, Japanese

More information

Introduction. Chapter 4. Instruction Execution. CPU Overview. University of the District of Columbia 30 September, Chapter 4 The Processor 1

Introduction. Chapter 4. Instruction Execution. CPU Overview. University of the District of Columbia 30 September, Chapter 4 The Processor 1 Chapter 4 The Processor Introduction CPU performance factors Instruction count etermined by IS and compiler CPI and Cycle time etermined by CPU hardware We will examine two MIPS implementations simplified

More information

A mathematician has asked us to design a simple digital device that works similarly to a pocket calculator.

A mathematician has asked us to design a simple digital device that works similarly to a pocket calculator. Lecture 1: Let's Put Together - Manual Processor Customer Specification mathematician has asked us to design a simple digital device that works similarly to a pocket calculator. The mathematician is interested

More information

MULTIPLE OPERAND ADDITION. Multioperand Addition

MULTIPLE OPERAND ADDITION. Multioperand Addition MULTIPLE OPERAND ADDITION Chapter 3 Multioperand Addition Add up a bunch of numbers Used in several algorithms Multiplication, recurrences, transforms, and filters Signed (two s comp) and unsigned Don

More information

a16450 Features General Description Universal Asynchronous Receiver/Transmitter

a16450 Features General Description Universal Asynchronous Receiver/Transmitter a16450 Universal Asynchronous Receiver/Transmitter September 1996, ver. 1 Data Sheet Features a16450 MegaCore function implementing a universal asynchronous receiver/transmitter (UART) Optimized for FLEX

More information

Computer Architecture Programming the Basic Computer

Computer Architecture Programming the Basic Computer 4. The Execution of the EXCHANGE Instruction The EXCHANGE routine reads the operand from the effective address and places it in DR. The contents of DR and AC are interchanged in the third microinstruction.

More information

Digital Design, Kyung Hee Univ. Chapter 7. Memory and Programmable Logic

Digital Design, Kyung Hee Univ. Chapter 7. Memory and Programmable Logic Chapter 7. Memory and Programmable Logic 1 7.1 Introduction Memory unit: A device to which binary information is transferred for storage and from which information is retrieved when needed for processing

More information

Implementing High-Speed Search Applications with APEX CAM

Implementing High-Speed Search Applications with APEX CAM Implementing High-Speed Search Applications with APEX July 999, ver.. Application Note 9 Introduction Most memory devices store and retrieve data by addressing specific memory locations. For example, a

More information

Model EXAM Question Bank

Model EXAM Question Bank VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI Department of Information Technology Model Exam -1 1. List the main difference between PLA and PAL. PLA: Both AND and OR arrays are programmable

More information

Memory. Objectives. Introduction. 6.2 Types of Memory

Memory. Objectives. Introduction. 6.2 Types of Memory Memory Objectives Master the concepts of hierarchical memory organization. Understand how each level of memory contributes to system performance, and how the performance is measured. Master the concepts

More information

ORCA Series 3C and 3T Field-Programmable Gate Arrays

ORCA Series 3C and 3T Field-Programmable Gate Arrays Product rief January 22 OR Series 3 and 3T Field-Programmable Gate rrays Features High-performance, cost-effective,.35 µm and.3 µm 4-level metal technology (4- or 5-input look-up table delay of.2 ns with

More information

Optimized Reconfigurable Cell Array (ORCA ) OR3Cxxx/OR3Txxx Series Field-Programmable Gate Arrays

Optimized Reconfigurable Cell Array (ORCA ) OR3Cxxx/OR3Txxx Series Field-Programmable Gate Arrays Preliminary Product rief November 997 Optimized Reconfigurable ell rray (OR ) OR3xxx/OR3Txxx Series Field-Programmable Gate rrays Features High-performance, cost-effective,.35 µm 4-level metal technology,

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

Introduction to Computer Science-103. Midterm

Introduction to Computer Science-103. Midterm Introduction to Computer Science-103 Midterm 1. Convert the following hexadecimal and octal numbers to decimal without using a calculator, showing your work. (6%) a. (ABC.D) 16 2748.8125 b. (411) 8 265

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013)

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013) 1 4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013) Lab #1: ITB Room 157, Thurs. and Fridays, 2:30-5:20, EOW Demos to TA: Thurs, Fri, Sept.

More information

UNIT-II. Part-2: CENTRAL PROCESSING UNIT

UNIT-II. Part-2: CENTRAL PROCESSING UNIT Page1 UNIT-II Part-2: CENTRAL PROCESSING UNIT Stack Organization Instruction Formats Addressing Modes Data Transfer And Manipulation Program Control Reduced Instruction Set Computer (RISC) Introduction:

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Combinational Logic Martha. Kim Columbia University Spring 6 / Combinational Circuits Combinational circuits are stateless. Their output is a function only of the current

More information

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 3.1 Introduction The various sections

More information

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software.

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software. White Paper DSSS Modem Lab Background The direct sequence spread spectrum (DSSS) digital modem reference design is a hardware design that has been optimized for the Altera APEX DSP development board (starter

More information

A True Single Cycle RISC Processor without Pipelining

A True Single Cycle RISC Processor without Pipelining 1 A True Single Cycle RISC Processor without Pipelining Robert S. Plachno, VP of Audio Abstract This paper details the design of a embedded RISC controller used for mixed signal audio integrated circuits.

More information

Student Name: University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

Student Name: University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of alifornia at erkeley ollege of Engineering epartment of Electrical Engineering and omputer Science EES 5 all 2 R. H. Katz IRST MITERM EXMINTION Tuesday, 3 October 2 INSTRUTIONS RE THEM NOW!

More information

Midterm Exam 2A Principles of Computing Fall November 10, 2014

Midterm Exam 2A Principles of Computing Fall November 10, 2014 Midterm Exam 2A 15110 Principles of Computing Fall 2014 November 10, 2014 Name: Andrew ID: Lab section: Instructions Answer each question neatly in the space provided. There are 6 questions totaling 28

More information

32- bit Microprocessor-Intel 80386

32- bit Microprocessor-Intel 80386 32- bit Microprocessor-Intel 80386 30 Marks Course Outcome: Explain memory management and concept of pipelining. Describe the concept of paging and addressing. Signal Description of 80386 Signal Descriptions

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

footprint Ways to reduce RISC-V soft processor 万瑞罡 (Ruigang Wan) Chengdu University GitHub account: rgwan

footprint Ways to reduce RISC-V soft processor 万瑞罡 (Ruigang Wan) Chengdu University   GitHub account: rgwan Ways to reduce RISC-V soft processor footprint 万瑞罡 (Ruigang Wan) Chengdu University E-mail: h@iloli.bid GitHub account: rgwan RISC-V Shanghai Day June 30th 2018 Why RISC-V? The RISC-V architecture provided

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Arithmetic Unit 10122011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Recap Fixed Point Arithmetic Addition/Subtraction

More information

Blog - https://anilkumarprathipati.wordpress.com/

Blog - https://anilkumarprathipati.wordpress.com/ Control Memory 1. Introduction The function of the control unit in a digital computer is to initiate sequences of microoperations. When the control signals are generated by hardware using conventional

More information

Functional Block: Decoders

Functional Block: Decoders University of Wisconsin - Madison EE/omp Sci 352 Digital Systems Fundamentals harles R. Kime Section 2 Fall 2 hapter 3 ombinational Logic Design Part 2 Tom Kaminski & harles R. Kime harles Kime & Thomas

More information

COMP combinational logic 1 Jan. 18, 2016

COMP combinational logic 1 Jan. 18, 2016 In lectures 1 and 2, we looked at representations of numbers. For the case of integers, we saw that we could perform addition of two numbers using a binary representation and using the same algorithm that

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

CS 151 Final. (Last Name) (First Name)

CS 151 Final. (Last Name) (First Name) CS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 20 pages including this cover. 2. Write down your Student-Id on the top of

More information

Lab 2: Barrel Shifter Design

Lab 2: Barrel Shifter Design EGR 400 A Advanced Digital System Design Using FPGAs Lab 2: Barrel Shifter Design Prepared for: Dr. Foist Christopher Parisi College of Engineering California Baptist University 10/05/12 Introduction The

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

INTELLIGENCE PLUS CHARACTER - THAT IS THE GOAL OF TRUE EDUCATION UNIT-I

INTELLIGENCE PLUS CHARACTER - THAT IS THE GOAL OF TRUE EDUCATION UNIT-I UNIT-I 1. List and explain the functional units of a computer with a neat diagram 2. Explain the computer levels of programming languages 3. a) Explain about instruction formats b) Evaluate the arithmetic

More information

HAND HELD PROGRAMMER QUICK START GUIDE

HAND HELD PROGRAMMER QUICK START GUIDE HAND HELD PROGRAMMER QUICK START GUIDE IMPORTANT INFORMATION 1) Do not leave the programmer connected to the PC adapter or a target system, as this will drain the battery. Installing Software 1) Run the

More information

understand the need for input and output devices describe suitable output devices for a wide range of computer controlled situations

understand the need for input and output devices describe suitable output devices for a wide range of computer controlled situations Hardware The OCR Specification says that you should be able to: The Central Processing Unit state the purpose of the CPU describe the function of the CPU as fetching and executing instructions stored in

More information

COMP Overview of Tutorial #2

COMP Overview of Tutorial #2 COMP 1402 Winter 2008 Tutorial #2 Overview of Tutorial #2 Number representation basics Binary conversions Octal conversions Hexadecimal conversions Signed numbers (signed magnitude, one s and two s complement,

More information

Lecture 9: Group Communication Operations. Shantanu Dutt ECE Dept. UIC

Lecture 9: Group Communication Operations. Shantanu Dutt ECE Dept. UIC Lecture 9: Group Communication Operations Shantanu Dutt ECE Dept. UIC Acknowledgement Adapted from Chapter 4 slides of the text, by A. Grama w/ a few changes, augmentations and corrections Topic Overview

More information

Computer Organisation CS303

Computer Organisation CS303 Computer Organisation CS303 Module Period Assignments 1 Day 1 to Day 6 1. Write a program to evaluate the arithmetic statement: X=(A-B + C * (D * E-F))/G + H*K a. Using a general register computer with

More information

Memory Basics. Course Outline. Introduction to Digital Logic. Copyright 2000 N. AYDIN. All rights reserved. 1. Introduction to Digital Logic.

Memory Basics. Course Outline. Introduction to Digital Logic. Copyright 2000 N. AYDIN. All rights reserved. 1. Introduction to Digital Logic. Introduction to Digital Logic Prof. Nizamettin AYDIN naydin@yildiz.edu.tr naydin@ieee.org ourse Outline. Digital omputers, Number Systems, Arithmetic Operations, Decimal, Alphanumeric, and Gray odes. inary

More information

Math-Net.Ru All Russian mathematical portal

Math-Net.Ru All Russian mathematical portal Math-Net.Ru All Russian mathematical portal Yu. V. Pottosin, S. N. Kardash, Pipelining combinational circuits, Prikl. Diskr. Mat., 2014, Number 1(23), 106 113 Use of the all-russian mathematical portal

More information

WELCOME TO. ENGR 303 Introduction to Logic Design. Hello my name is Dr. Chuck Brown

WELCOME TO. ENGR 303 Introduction to Logic Design. Hello my name is Dr. Chuck Brown Chapter 1 WELCOME TO Introduction to Logic Design Hello my name is Dr. Chuck Brown Please sign in and then find a seat. The person next to you will be your lab partner for the course so choose wisely and

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 09 MULTIPLEXERS OBJECTIVES: To experimentally verify the proper operation of a multiplexer.

More information

EC4205 Microprocessor and Microcontroller

EC4205 Microprocessor and Microcontroller 4205 icroprocessor and icrocontroller Webcast link: https://sites.google.com/a/bitmesra.ac.in/aminulislam/home ll announcement made through webpage: check back often Students are welcome outside the class

More information

Low Power Design Techniques

Low Power Design Techniques Low Power Design Techniques August 2005, ver 1.0 Application Note 401 Introduction This application note provides low-power logic design techniques for Stratix II and Cyclone II devices. These devices

More information

Investigation into Programmability for Layer 2 Protocol Frame Delineation Architectures

Investigation into Programmability for Layer 2 Protocol Frame Delineation Architectures Investigation into Programmability for Layer 2 Protocol Frame Delineation rchitectures Ciaran Toal, Sakir Sezer Institute of Communications and Information Technology, Queen s University Belfast, Queen's

More information

On the Efficient Implementation of Pipelined Heaps for Network Processing

On the Efficient Implementation of Pipelined Heaps for Network Processing On the Efficient Implementation of Pipelined Heaps for Network Processing Hao Wang ill in University of alifornia, San iego, a Jolla, 92093 0407. Email {wanghao,billlin}@ucsd.edu bstract Priority queues

More information

WYSE Academic Challenge 2002 Computer Science Test (Sectional) SOLUTION

WYSE Academic Challenge 2002 Computer Science Test (Sectional) SOLUTION Computer Science - 1 WYSE Academic Challenge 2002 Computer Science Test (Sectional) SOLUTION 1. Access to moving head disks requires three periods of delay before information is brought into memory. The

More information

Instruction Set Reference

Instruction Set Reference .1 QUICK LIST OF INSTRUCTIONS This chapter is a complete reference for the instruction set of the ADSP-2100 family. The instruction set is organized by instruction group and, within each group, by individual

More information

Number representations

Number representations Number representations Number bases Three number bases are of interest: Binary, Octal and Hexadecimal. We look briefly at conversions among them and between each of them and decimal. Binary Base-two, or

More information

To design a 4-bit ALU To experimentally check the operation of the ALU

To design a 4-bit ALU To experimentally check the operation of the ALU 1 Experiment # 11 Design and Implementation of a 4 - bit ALU Objectives: The objectives of this lab are: To design a 4-bit ALU To experimentally check the operation of the ALU Overview An Arithmetic Logic

More information

STRAIGHT LINE ORTHOGONAL DRAWINGS OF COMPLETE TERNERY TREES SPUR FINAL PAPER, SUMMER July 29, 2015

STRAIGHT LINE ORTHOGONAL DRAWINGS OF COMPLETE TERNERY TREES SPUR FINAL PAPER, SUMMER July 29, 2015 STRIGHT LINE ORTHOGONL DRWINGS OF COMPLETE TERNERY TREES SPUR FINL PPER, SUMMER 2015 SR LI MENTOR: SYLVIN CRPENTIER PROJECT SUGGESTED Y LRRY GUTH July 29, 2015 bstract. In this paper we study embeddings

More information

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key Time: Two Hours Amrita Vishwa Vidyapeetham B.Tech Second Assessment March 2013 Eighth Semester Electrical and Electronics Engineering EC429 VLSI System Design Answer Key Answer all Questions Roll No: Maximum:

More information