ORCA Series 3C and 3T Field-Programmable Gate Arrays

Size: px
Start display at page:

Download "ORCA Series 3C and 3T Field-Programmable Gate Arrays"

Transcription

1 Product rief January 22 OR Series 3 and 3T Field-Programmable Gate rrays Features High-performance, cost-effective,.35 µm and.3 µm 4-level metal technology (4- or 5-input look-up table delay of.2 ns with -7 speed grade in.3 µm). Same basic architecture as lower-voltage, advanced process technology Series 3 architecture (see OR Series 3Lxxx FPGs documentation). Up to 86, usable system gates. Up to 452 user I/Os. (OR3Txxx I/Os are 5 V tolerant to allow interconnection to both 3.3 V and 5 V devices, selectable on a per-pin basis.) Pin-selectable I/O clamping diodes provide 5 V or 3.3 V PI compliance and 5 V tolerance on OR3Txxx devices. Twin-quad programmable function unit (PFU) architecture with eight 6-bit look-up tables (LUTs) per PFU, organized in two nibbles for use in nibble- or byte-wide functions. llows for mixed arithmetic and logic functions in a single PFU. Nine user registers per PFU, one following each LUT, plus one extra. ll have programmable clock enable and local set/reset, plus a global set/reset that can be disabled per PFU. Flexible input structure (FINS) of the PFUs provides a routability enhancement for LUTs with shared inputs and the logic flexibility of LUTs with independent inputs. Fast-carry logic and routing to adjacent PFUs for nibble-, byte-wide, or longer arithmetic functions, with the new option to register the PFU carry-out. Softwired LUTs (SWL) allow fast cascading of up to three levels of LUT logic in a single PFU for up to 4% speed improvement. Supplemental logic and interconnect cell (SLI) provides 3-statable buffers, up to -bit decoder, and PL*- like N-OR-INVERT (OI) in each programmable logic cell (PL), with over 5% speed improvement typical. bundant hierarchical routing resources based on routing two data nibbles and two control lines per set provide for faster place and route implementations and less routing delay. TTL or MOS input levels programmable per pin for the OR3xxx (5. V) devices. Individually programmable drive capability: 2 m sink/ 6 m source or 6 m sink/3 m source. uilt-in boundary scan (IEEE 49. JTG) and TS_LL testability function to 3-state all I/O pins. Enhanced system clock routing for low-skew, high-speed clocks originating on-chip or at any I/O. Up to four ExpressLK inputs allow extremely fast clocking of signals on- and off-chip plus access to internal general clock routing. StopLK feature to glitchlessly stop/start the Express- LKs independently by user command. Programmable I/O (PIO) has: Fast-capture input latch and input flip-flop (FF)/latch for reduced input setup time and zero hold time. apability to (de)multiplex I/O signals. Fast access to SLI for decodes and PL-like functions. Output FF and two-signal function generator to reduce LK to output propagation delay. Fast open-drain drive capability. apability to register 3-state enable signal. aseline FPG family used in Series 3+ FPSs (fieldprogrammable system chips) which combine FPG logic and standard-cell logic on one device. * PL is a trademark of dvanced Micro evices, Inc. IEEE is a registered trademark of The Institute of Electrical and Electronics Engineers, Inc. Table. OR Series 3 and 3T FPGs evice System Gates LUTs Registers Max User RM User I/Os rray Size Process Technology OR3T2 36K K 96 2 x 2.3 µm/4 LM OR3T3 48K K x 4.3 µm/4 LM OR3/3T55 8K K x 8.3 µm/4 LM OR3/3T8 6K K x 22.3 µm/4 LM OR3T25 86K K x 28.3 µm/4 LM The system gate counts range from a logic-only gate count to a gate count assuming 3% of the PFUs/SLIs being used as RMs. The logic-only gate count includes each PFU/SLI (counted as 8 gates/pfu), including 2 gates per LUT/FF pair (eight per PFU), and 2 gates per SLI/FF pair (one per PFU). Each of the four PIOs per PI is counted as 6 gates (three FFs, fast-capture latch, output logic, LK, and I/O buffers). PFUs used as RM are counted at four gates per bit, with each PFU capable of implementing a 32 x 4 RM (or 52 gates) per PFU.

2 Preliminary Product rief OR Series 3 FPGs January 22 System-Level Features System-level features reduce glue logic requirements and make a system on a chip possible. These features in the OR OR3/Txxx include: Full PI local bus compliance. ual-use microprocessor interface (MPI) can be used for configuration, readback, device control, and device status, as well as for a general-purpose interface to the FPG. Glueless interface to i96 * and PowerP processors with user-configurable address space provided. Parallel readback of configuration data capability with the built-in microprocessor interface. Programmable clock manager (PM) adjusts clock phase and duty cycle for input clock rates from 5 to 2. The PM may be combined with FPG logic to create complex functions, such as digital phase-locked loops (PLL), frequency counters, and frequency synthesizers or clock doublers. Two PMs are provided per device. True, internal, 3-state, bidirectional buses with simple control provided by the SLI. 32 x 4 RM per PFU, configurable as single- or dualport at >64 (-7 speed). reate large, fast RM/ ROM blocks (28 x 8 in only eight PFUs) using the SLI decoders as bank drivers. * i96 is a registered trademark of Intel orporation. PowerP is a registered trademark of International usiness Machines orporation. Table 2. OR OR3/Txxx System Performance Parameter # PFUs Speed Unit 6-bit Loadable Up/own ounter 6-bit ccumulator x 8 Parallel Multiplier: Multiplier Mode, Unpipelined ROM Mode, Unpipelined 2 Multiplier Mode, Pipelined x 6 RM (synchronous): Single-port, 3-state us 4 4 ual-port x 8 RM (synchronous): Single-port, 3-state us 4 8 ual-port bit ddress ecode (internal): Using Softwired LUTs.25 Using SLIs 6 32-bit ddress ecode (internal): Using Softwired LUTs 2 Using SLIs ns ns 36-bit Parity heck (internal) ns. Implemented using 8 x multiplier mode (unpipelined), register-to-register, two 8-bit inputs, one 6-bit output. 2. Implemented using two 32 x 4 RMs and one 2-bit adder, one 8-bit input, one fixed operand, one 6-bit output. 3. Implemented using 8 x multiplier mode (fully pipelined), two 8-bit inputs, one 6-bit output (7 of 5 PFUs contain only pipelining registers). 4. Implemented using 32 x 4 RM mode with read data on 3-state buffer to bidirectional read/write bus. 5. Implemented using 32 x 4 dual-port RM mode. 6. Implemented in one partially occupied SLI with decoded output set up to in same PL. 7. Implemented in five partially occupied SLIs. Note: Shaded values apply only to OR3Txxx devices. -4 speed grade applies only to OR3xx devices ns ns 2 Lattice Semiconductor

3 Preliminary Product rief January 22 OR Series 3 FPGs Support OR Foundry evelopment System support. Supported by industry-standard E tools for design entry, synthesis, simulation, and timing analysis. escription FPG Overview The OR Series 3 FPGs are a new generation of SRM-based FPGs built on the successful OR2/ Txx FPG (Series 2), with enhancements and innovations geared toward today s high-speed designs and tomorrow s systems on a single chip. esigned from the start to be synthesis friendly and to reduce place and route times while maintaining the complete routability of the OR Series 2 devices, the Series 3 family more than doubles the logic available in each logic block and incorporates system-level features that can further reduce logic requirements and increase system speed. OR Series 3 devices contain many new patented enhancements and are offered in a variety of packages, speed grades, and temperature ranges. The OR Series 3 FPGs consist of three basic elements: programmable logic cells (PLs), programmable input/output cells (PIs), and system-level features. n array of PLs is surrounded by PIs. Each PL contains a programmable function unit (PFU), a supplemental logic and interconnect cell (SLI), local routing resources, and configuration RM. Most of the FPG logic is performed in the PFU (see Figure ), but decoders, PL-like functions, and 3-state buffering can be performed in the SLI (see Figure 2). The PIs provide device inputs and outputs and can be used to register signals and to perform input demultiplexing, output multiplexing, and other functions on two output signals (see Figure 3). Some of the system-level functions include the new microprocessor interface (MPI) and the programmable clock manager (PM). PL Logic Each PFU within a PL contains eight 4-input (6-bit) look-up tables (LUTs), eight latches/flip-flops (FFs), and one additional flip-flop that may be used independently or with arithmetic functions. The PFU is organized in a twin-quad fashion: two sets of four LUTs and FFs that can be controlled independently. LUTs may also be combined for use in arithmetic functions using fast-carry chain logic in either 4-bit or 8-bit modes. The carry-out of either mode may be registered in the ninth FF for pipelining. Each PFU may also be configured as a synchronous 32 x 4 single- or dual-port RM or ROM. The FFs (or latches) may obtain input from LUT outputs or directly from invertible PFU inputs, or they can be tied high or tied low. The FFs also have programmable clock polarity, clock enables, and local set/reset. The SLI is connected to PL routing resources and to the outputs of the PFU. It contains 3-state, bidirectional buffers and logic to perform up to a -bit N function for decoding, or an N-OR with optional INVERT to perform PL-like functions. The 3-state drivers in the SLI and their direct connections to the PFU outputs make fast, true 3-state buses possible within the FPG, reducing required routing and allowing for realworld system performance. PI Logic The OR3/Txxx PI addresses the demand for everincreasing system clock speeds. Each PI contains four programmable inputs/outputs (PIOs) and routing resources. On the input side, each PIO contains a fastcapture latch that is clocked by an ExpressLK. This latch is followed by a latch/ff that is clocked by a system clock from the internal general clock routing. The combination provides for very low setup requirements and zero hold times for signals coming on-chip. It may also be used to demultiplex an input signal, such as a multiplexed address/data signal, and register the signals without explicitly building a demultiplexer. Two input signals are available to the PL array from each PIO, and the OR Series 2 capability to use any input pin as a clock or other global input is maintained. On the output side of each PIO, two outputs from the PL array can be routed to each output flip-flop, and logic can be associated with each I/O pad. The output logic associated with each pad allows for multiplexing of output signals and other functions of two output signals. The output FF, in combination with output signal multiplexing, is particularly useful for registering address signals to be multiplexed with data, allowing a full clock cycle for the data to propagate to the output. The I/O buffer associated with each pad is very similar to the OR Series 2 buffer with a new, fast, open-drain option for ease of use on system buses. The output buffer signal can be inverted, and the 3-state control can be made active-high, active-low, or always enabled. In addition, this 3-state signal can be registered or nonregistered. Lattice Semiconductor 3

4 Preliminary Product rief OR Series 3 FPGs January 22 escription (continued) F5 K7_ K7_ K7_2 K7_3 K6_ K6_ K6_2 K6_3 K7 K6 F5MOE67 IN7 IN6 REG7 SEL REG6 SEL F7 Q7 F6 Q6 F5 K5_ K5_ K5_2 K5_3 K4_ K4_ K4_2 K4_3 F5 LK K5 K4 F5MOE45 IN5 IN4 REG5 SEL REG4 SEL Q5 F4 Q4 SEL IN OUT SWE FF8 REGOUT F5 K3_ K3_ K3_2 K3_3 K2_ K2_ K2_2 K2_3 K3 K2 F5MOE23 IN3 IN2 REG3 SEL REG2 SEL F3 Q3 F2 Q2 F K_ K_ K_2 K_3 K_ K_ K_2 K_3 F5 K K F5MOE IN IN REG SEL REG SEL Q F Q Note: ll multiplexers without select inputs are configuration selector multiplexers Figure. Simplified PFU iagram 4 Lattice Semiconductor

5 Preliminary Product rief January 22 OR Series 3 FPGs escription (continued) RI9 I9 LI9 RI8 I8 LI8 L9 R9 L8 R8 RI7 I7 LI7 L7 R7 RI6 I6 LI6 L6 R6 RI5 I5 LI5 RI4 I4 LI4 L5 R5 L4 R4 E TRI / / E HIGH Z WHEN LOW / RI3 I3 LI3 / L3 R3 RI2 I2 LI2 L2 R2 RI I LI L R RI I LI L R (F) Figure 2. SLI iagram (ll Modes) Lattice Semiconductor 5

6 Preliminary Product rief OR Series 3 FPGs January 22 escription (continued) System Features The Series 3 also provides system-level functionality by means of its dual-use microprocessor interface (MPI) and its innovative programmable clock manager (PM). These functional blocks allow for easy glueless system interfacing and the capability to adjust to varying conditions in today s high-speed systems. The MPI provides a glueless interface between the FPG and PowerP and i96 microprocessors. It can be used for configuration and readback, as well as for monitoring FPG status. The MPI also provides a general-purpose microprocessor interface to the FPG user-defined logic following configuration. Two PMs are provided on each Series 3 device. Each PM can be used to manipulate the frequency, phase, and duty cycle of a clock signal. locks may be input from the dedicated corner ExpressLK input (in the same corner as the PM block) or from general routing. Output clocks from the PM can be sent to the system clock spines and/or to the ExpressLK and fast clock spines on the edges of the device adjacent to the PM. ExpressLK/fast clock and system clock output frequencies can differ by up to a factor of 8 to allow slow I/O clocking with fast internal processing (or vice versa). Each PM is capable of manipulating clocks from 5 to 2. Frequencies can be adjusted from /8x to 64x the input clock frequency, and duty cycles and phase delays can be adjusted from 3.25% to %. Routing The abundant routing resources of the Series 3 FPGs are organized to route signals individually or as buses with related control signals. locks are routed on a lowskew, high-speed distribution network and may be sourced from PL logic, externally from any I/O pad, or from the very fast ExpressLK pins. ExpressLKs may be glitchlessly and independently enabled and disabled with a programmable control signal using the new StopLK feature. The improved PI routing resources are now similar to the patented intra-pl routing resources and provide great flexibility in moving signals to and from the PIOs. This flexibility translates into an improved capability to route designs at the required speeds when the I/O signals have been locked to specific pins. onfiguration The FPG s functionality is determined by internal configuration RM. The FPG s internal initialization/configuration circuitry loads the configuration data at powerup or under system control. The RM is loaded by using one of several configuration modes. The configuration data resides externally in an EEPROM or any other storage media. Serial EEPROMs provide a simple, low pin count method for configuring FPGs. new, easy method for configuring the devices is through the microprocessor interface. FROM ROUTING OUT OUT2 ELK SLK _OVER OVER_ SYN PIO LOGI N NN OR NOR XOR XNOR PMUX OUTOUTREG OUT2OUTREG OUTOUT2 Q SP TS RESET SET Q PULL-MOE UP OWN NONE P LEVEL MOE TTL UFFER MOS MOE FST SLEW SINK P ELK SLK NORML INVERTE INREGMOE LTHFF LTH FF Q Q SP S RESET SET LKIN IN IN2 TO ROUTING ENLE_GSR ISLE_GSR Figure 3. Series 3 Programmable Input/Output (PIO) Image from OR Foundry 5-585(F).c 6 Lattice Semiconductor

7 Preliminary Product rief January 22 OR Series 3 FPGs escription (continued) OR Foundry evelopment System The OR Foundry evelopment System is used to process a design from a netlist to a configured FPG. This system is used to map a design onto the OR architecture and then place and route it using OR Foundry s timing-driven tools. The development system also includes interfaces to, and libraries for, other popular E tools for design entry, synthesis, simulation, and timing analysis. The OR Foundry evelopment System interfaces to front-end design entry tools and provides the tools to produce a configured FPG. In the design flow, the user defines the functionality of the FPG at two points in the design flow: at design entry and at the bit stream generation stage. Following design entry, the development system s map, place, and route tools translate the netlist into a routed FPG. static timing analysis tool is provided to determine device speed and a back-annotated netlist can be created to allow simulation. Timing and simulation output files from OR Foundry are also compatible with many third-party analysis tools. Its bit stream generator is then used to generate the configuration data which is loaded into the FPG s internal configuration RM. When using the bit stream generator, the user selects options that affect the functionality of the FPG. ombined with the front-end tools, OR Foundry produces configuration data that implements the various logic and routing options discussed in this product brief. dditional Information ontact your local Lattice representative for additional information regarding the OR Series 3 FPG devices, or visit our website at Lattice Semiconductor 7

8 Preliminary Product rief OR Series 3 FPGs January 22 Ordering Information Example: EVI TYPE SPEE GRE OR38-4 PS 24 TEMPERTURE RNGE NUMER OF PINS PGE TYPE OR38, -4 Speed Grade, 24-pin Power Quad Shrink Flat Package (SQFP2), ommercial Temperature. Table 3. Voltage Options evice OR3xx OR3Txxx Voltage 5. V 3.3 V Table 4. Temperature Options Symbol escription Temperature (lank) ommercial to 7 I Industrial 4 to +85 Table 5. Package Options Symbol PS S escription Plastic all Grid rray (PG) Enhanced all Grid rray (EG) Power Quad Shrink Flat Package (SQFP2) Shrink Quad Flat Package (SQFP) Table 6. OR Series 3 Package Matrix Packages 28-Pin EIJ SQFP Note: = commercial, I = industrial. 28-Pin EIJ/SQFP2 24-Pin EIJ SQFP 24-Pin EIJ/SQFP2 256-Pin PG 352-Pin PG 432-Pin EG 6-Pin EG S28 PS28 S24 PS OR3T2 l I I I OR3T3 I I I I OR3/T55 I I I I OR3/T8 I I I I OR3T25 I I I I I opyright 22 Lattice Semiconductor ll Rights Reserved Printed in U.S.. January 22 PN99-58FPG (Replaces PN98-2FPG)

Optimized Reconfigurable Cell Array (ORCA ) OR3Cxxx/OR3Txxx Series Field-Programmable Gate Arrays

Optimized Reconfigurable Cell Array (ORCA ) OR3Cxxx/OR3Txxx Series Field-Programmable Gate Arrays Preliminary Product rief November 997 Optimized Reconfigurable ell rray (OR ) OR3xxx/OR3Txxx Series Field-Programmable Gate rrays Features High-performance, cost-effective,.35 µm 4-level metal technology,

More information

Optimized Reconfigurable Cell Array (ORCA ) OR3Cxxx/OR3Txxx Series Field-Programmable Gate Arrays

Optimized Reconfigurable Cell Array (ORCA ) OR3Cxxx/OR3Txxx Series Field-Programmable Gate Arrays Optimized Reconfigurable ell Array (ORA ) OR3xxx/OR3Txxx Series Field-Programmable Gate Arrays Features High-performance, cost-effective 0.35 µm, 4-level metal technology, with a migration plan for 0.25

More information

Introduction to Actel FPGA Architecture

Introduction to Actel FPGA Architecture Introduction to ctel FPG rchitecture pplication Note 65 This overview of the different ctel device families covers the major architectural features in sufficient detail to ensure the reader is familiar

More information

Select Devices Discontinued!

Select Devices Discontinued! ORCA Series 3C and 3T FPGA Device Datasheet June 2010 Select Devices Discontinued! Product Change Notificatio (PCNs) have been issued to discontinue select devices in this data sheet. The original datasheet

More information

ORCA ORLI10G Quad 2.5Gbps, 10Gbps Quad 3.125Gbps, 12.5Gbps Line Interface FPSC

ORCA ORLI10G Quad 2.5Gbps, 10Gbps Quad 3.125Gbps, 12.5Gbps Line Interface FPSC January 25 Introduction ORCA ORLI1G Quad 2.5Gbps, 1Gbps Quad 3.125Gbps, 12.5Gbps Line Interface FPSC Data Sheet The Lattice ORCA Series 4-based ORLI1G FPSC combines a high-speed line interface with a flexible

More information

System Design Choices

System Design Choices System esign hoices Programmable Logic PL e.g. Lattice ispgl22v10, tmel TF1502 PL Field Programmable Gate rray (FPG) e.g. ltera yclone III, Xilinx rtix-7/zync-7000 Semi-ustom esign Mask Programmable Gate

More information

The MC9S12 address, data and control buses The MC9S12 single-chip mode memory map Simplified write/read cycle. Address, Data and Control Buses

The MC9S12 address, data and control buses The MC9S12 single-chip mode memory map Simplified write/read cycle. Address, Data and Control Buses EE 308 Spring 2013 The MC9S12 address, data and control buses The MC9S12 single-chip mode memory map Simplified write/read cycle The real MC9S12 multiplexed external bus Byte order in microprocessors How

More information

Introduction to PEEL TM Arrays

Introduction to PEEL TM Arrays Features ntroduction to PEEL TM s Programmable Electrically Erasable s Programmable Electrically Erasable s Family of medium-density PLs Reprogrammable MOS EEPROM Technology 24, 28, 40 and 44 pins in P,

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. Data Sheet ORCA Series 4 FPGAs Introduction Built on the Series 4 reconfigurable

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

Introduction to GAL Device Architectures

Introduction to GAL Device Architectures ntroduction to GAL evice Architectures Overview n 195, Lattice Semiconductor introduced a new type of programmable logic device (PL) that transformed the PL market: the Generic Array Logic (GAL) device.

More information

Select Devices Discontinued!

Select Devices Discontinued! ORCA Series 2 Device Datasheet June 2010 Select Devices Discontinued! Product Change Notificatio (PCNs) have been issued to discontinue select devices in this data sheet. The original datasheet pages have

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

ORCA ORT82G Gbits/s 8b/10b Backplane Interface FPSC

ORCA ORT82G Gbits/s 8b/10b Backplane Interface FPSC ORCA ORT82G5 0.6-3.7 Gbits/s 8b/10b Backplane Interface FPSC February 2003 Data Sheet Introduction has developed a next generation FPSC intended for high-speed serial backplane data transmission. Built

More information

ORCA Series 3 Programmable Clock Manager (PCM)

ORCA Series 3 Programmable Clock Manager (PCM) Application Note ORCA Series 3 Programmable Clock Manager (PCM) Introduction As FPGA designs continue to increase in size, speed, and complexity, the need for system-level functions becomes extremely important

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

All Devices Discontinued!

All Devices Discontinued! ORCA Series 4 FPGA Device Datasheet June 2010 All Devices Discontinued! Product Change Notificatio (PCNs) #09-10 has been issued to discontinue all devices in this data sheet. The original datasheet pages

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor The microprocessor is a general purpose programmable logic device. It is the brain of the computer and it performs all the computational tasks, calculations data processing

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

Device XC5202 XC5204 XC5206 XC5210 XC5215. Max Logic Gates 3,000 6,000 10,000 16,000 23,000

Device XC5202 XC5204 XC5206 XC5210 XC5215. Max Logic Gates 3,000 6,000 10,000 16,000 23,000 XC5200 Field Programmable Gate Arrays August 6, 1996 (Version 4.01) Features High-density family of Field-Programmable Gate Arrays (FPGAs) Design- and process-optimized for low cost - 0.6-µm three-layer

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform QPO High-eliability QML Certified and adiation Hardened Products for Aerospace and Defense Applications January 21, 2 (v2.) 7* The High-eliability Programmable Leader Xilinx is the leading supplier of

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

ORCA Series 3 Microprocessor Interface

ORCA Series 3 Microprocessor Interface Application Note ORCA Series 3 Microprocessor Interface ORCA Series 3 Microprocessor Interface Introduction With the increased demand of larger and faster FPGAs, one of the goals of FPGA designers is to

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Z Z-280 MT8930, MT8992/3/4/5 MT8880 MT8888 MT8889 MT8980/1 MT8985, MT8986 (DIP-40) MT8986 (PLCC-44) MT8920B MT8952B

Z Z-280 MT8930, MT8992/3/4/5 MT8880 MT8888 MT8889 MT8980/1 MT8985, MT8986 (DIP-40) MT8986 (PLCC-44) MT8920B MT8952B MSAN-145 How to Interface Mitel Components to Parallel Bus CPUs TABL OF CONTNTS Introduction ISSU 1 August 1993 1.0 Group 1 Components 1.1 Interfacing to the 6802 1.2 Interfacing to the 6809 1.3 Interfacing

More information

PEEL 22CV10A-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 22CV10A-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device Features PEEL 22V10A-7/-10/-15/-25 MOS Programmable Electrically Erasable Logic Device High Speed/Low Power - Speeds ranging from 7ns to 25ns - Power as low as 30mA at 25MHz Electrically Erasable Technology

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

THE MICROCOMPUTER SYSTEM CHAPTER - 2

THE MICROCOMPUTER SYSTEM CHAPTER - 2 THE MICROCOMPUTER SYSTEM CHAPTER - 2 20 2.1 GENERAL ASPECTS The first computer was developed using vacuum tubes. The computers thus developed were clumsy and dissipating more power. After the invention

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Microprocessors/Microcontrollers

Microprocessors/Microcontrollers Microprocessors/Microcontrollers A central processing unit (CPU) fabricated on one or more chips, containing the basic arithmetic, logic, and control elements of a computer that are required for processing

More information

Architecture of 8085 microprocessor

Architecture of 8085 microprocessor Architecture of 8085 microprocessor 8085 consists of various units and each unit performs its own functions. The various units of a microprocessor are listed below Accumulator Arithmetic and logic Unit

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Dec Hex Bin ORG ; ZERO. Introduction To Computing

Dec Hex Bin ORG ; ZERO. Introduction To Computing Dec Hex Bin 0 0 00000000 ORG ; ZERO Introduction To Computing OBJECTIVES this chapter enables the student to: Convert any number from base 2, base 10, or base 16 to any of the other two bases. Add and

More information

FPGA Implementations

FPGA Implementations FPGA Implementations Smith Text: Chapters 4-8 Online version at: http://www10.edacafe.com/book/asic/asics.php Topic outline Chapter 4 Programmable ASIC technologies Chapter 5 Programmable logic cells Chapter

More information

Chapter 1: Basics of Microprocessor [08 M]

Chapter 1: Basics of Microprocessor [08 M] Microprocessor: Chapter 1: Basics of Microprocessor [08 M] It is a semiconductor device consisting of electronic logic circuits manufactured by using either a Large scale (LSI) or Very Large Scale (VLSI)

More information

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices,

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, CISC and RISC processors etc. Knows the architecture and

More information

Basics of Microprocessor

Basics of Microprocessor Unit 1 Basics of Microprocessor 1. Microprocessor Microprocessor is a multipurpose programmable integrated device that has computing and decision making capability. This semiconductor IC is manufactured

More information

Selection Information FAST/LS TTL FAST AND LS TTL

Selection Information FAST/LS TTL FAST AND LS TTL election Information FT/L TTL 1 FT ND L TTL GENERL INFORMTION TTL in Perspective ince its introduction, TTL has become the most popular form of digital logic. It has evolved from the original gold-doped

More information

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 Using MSI Logic To Build An Output Port Many designs use standard MSI logic for microprocessor expansion This provides an inexpensive

More information

A Time-Multiplexed FPGA

A Time-Multiplexed FPGA A Time-Multiplexed FPGA Steve Trimberger, Dean Carberry, Anders Johnson, Jennifer Wong Xilinx, nc. 2 100 Logic Drive San Jose, CA 95124 408-559-7778 steve.trimberger @ xilinx.com Abstract This paper describes

More information

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book BUILDING BLOCKS OF A BASIC MICROPROCESSOR Part PowerPoint Format of Lecture 3 of Book Decoder Tri-state device Full adder, full subtractor Arithmetic Logic Unit (ALU) Memories Example showing how to write

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

3.1 Description of Microprocessor. 3.2 History of Microprocessor

3.1 Description of Microprocessor. 3.2 History of Microprocessor 3.0 MAIN CONTENT 3.1 Description of Microprocessor The brain or engine of the PC is the processor (sometimes called microprocessor), or central processing unit (CPU). The CPU performs the system s calculating

More information

isplsi 8000 and 8000V Family Architectural Description

isplsi 8000 and 8000V Family Architectural Description October 21 Introduction The of Register-Intensive, SuperBIG In-System Programmable Logic evices is based on Big Fast Megablocks of 12 registered macrocells and a Global Routing Plane (GRP) structure interconnecting

More information

Feature EPF10K30E EPF10K50E EPF10K50S

Feature EPF10K30E EPF10K50E EPF10K50S FLEX 10KE Embedded Programmable Logic Family August 1999, ver. 2.02 Data Sheet Features... Embedded programmable logic devices (PLDs), providing System-on-a-Programmable-Chip TM integration in a single

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

Am186ER/Am188ER AMD continues 16-bit innovation

Am186ER/Am188ER AMD continues 16-bit innovation Am186ER/Am188ER AMD continues 16-bit innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Am186ER and Am188ER Am186 System Evolution 80C186 Based 3.37 MIP System Am186EM Based

More information

MICROPROCESSOR MICROPROCESSOR. From the above description, we can draw the following block diagram to represent a microprocessor based system: Output

MICROPROCESSOR MICROPROCESSOR. From the above description, we can draw the following block diagram to represent a microprocessor based system: Output 8085 SATISH CHANDRA What is a Microprocessor? The word comes from the combination micro and processor. Processor means a device that processes whatever. In this context, processor means a device that processes

More information

Reference Design RD1065

Reference Design RD1065 April 011 Reference Design RD1065 Introduction Most microprocessors have a General Purpose Input/Output (GPIO) interface to communicate with external devices and peripherals through various protocols These

More information

UltraLogic High-Density Flash CPLDs

UltraLogic High-Density Flash CPLDs y fax id: 65 FLASH7i ISR UltraLogic High-ensity Flash CPLs Features Flash In-System Reprogrammable (ISR ) CMOS CPLs Combines on board reprogramming with pinout flexibility and a simple timing model esign

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

DATA SHEET. Low power and low cost CPLD. Revision: 1.0. Release date: 10/10/2016. Page 1 of 14

DATA SHEET. Low power and low cost CPLD. Revision: 1.0. Release date: 10/10/2016. Page 1 of 14 DATA SHEET Revision: 1.0 Release date: 10/10/2016 AG1280 Low power and low cost CPLD Page 1 of 14 General Description AG1280 family provides low cost, ultra-low power CPLDs, with density is 1280 Look-Up

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

ORCA ORT8850 Field-Programmable System Chip (FPSC) Eight-Channel x 850 Mbits/s Backplane Transceiver

ORCA ORT8850 Field-Programmable System Chip (FPSC) Eight-Channel x 850 Mbits/s Backplane Transceiver ORCA ORT8850 Field-Programmable System Chip (FPSC) Eight-Channel x 850 Mbits/s Backplane Transceiver February 2008 Data Sheet Introduction Field Programmable System-on-a-Chip (FPSCs) bring a whole new

More information

Microprocessor Architecture. mywbut.com 1

Microprocessor Architecture. mywbut.com 1 Microprocessor Architecture mywbut.com 1 Microprocessor Architecture The microprocessor can be programmed to perform functions on given data by writing specific instructions into its memory. The microprocessor

More information

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density pasic 3 HIGHLIGHTS 60,000 usable PLD gates, 316 I/O pins QL3060 60,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density April, 1999 High Performance and High Density -60,000 Usable

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

WELCOME TO. ENGR 303 Introduction to Logic Design. Hello my name is Dr. Chuck Brown

WELCOME TO. ENGR 303 Introduction to Logic Design. Hello my name is Dr. Chuck Brown Chapter 1 WELCOME TO Introduction to Logic Design Hello my name is Dr. Chuck Brown Please sign in and then find a seat. The person next to you will be your lab partner for the course so choose wisely and

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Power Matters. Antifuse Product Information Brochure

Power Matters. Antifuse Product Information Brochure Power atters. Antifuse Product Information Brochure Providing industry-leading FPGAs and SoCs for applications where security is vital, reliability is non-negotiable and power matters. 2 www.microsemi.com/fpga-soc

More information

The Virtex FPGA and Introduction to design techniques

The Virtex FPGA and Introduction to design techniques The Virtex FPGA and Introduction to design techniques SM098 Computation Structures Lecture 6 Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL

More information

EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) FPGA Overview

EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) FPGA Overview EECS150 - igital esign Lecture 3 - Field Programmable Gate rrays (FPGs) January 27, 2009 John Wawrzynek Spring 2009 EECS150 - Lec03-FPG Page 1 FPG Overview asic idea: two-dimensional array of logic blocks

More information

Stephen Churcher, Tom Kean, and Bill Wilkie Xilinx Inc. (presented by Raj Patel)

Stephen Churcher, Tom Kean, and Bill Wilkie Xilinx Inc. (presented by Raj Patel) The XC6200 astmap TM Processor Interface Stephen Churcher, Tom Kean, and ill Wilkie Xilinx Inc. (presented by Raj Patel) bstract The Xilinx XC6200 is the first commercially available PG to be specifically

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

EE 3170 Microcontroller Applications

EE 3170 Microcontroller Applications EE 3170 Microcontroller Applications Lecture 4 : Processors, Computers, and Controllers - 1.2 (reading assignment), 1.3-1.5 Based on slides for ECE3170 by Profs. Kieckhafer, Davis, Tan, and Cischke Outline

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE: This tutorial describes the key features of the DSP56300 family of processors. OBJECTIVES: Describe the main features of the DSP 24-bit core. Identify the features and functions

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text In this lecture the detailed architecture of 8051 controller, register bank,

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

ADVANCE INFORMATION MACH4-32/MACH4LV-32

ADVANCE INFORMATION MACH4-32/MACH4LV-32 MACH 4 FAMILY COM L: -7/0//5 IND: -0//4/ MACH4-3/MACH4LV-3 High-Performance EE CMOS Programmable Logic DISTITIVE CHARACTERISTICS 44 pins in PLCC, 44 and 4 pins in TQFP 3 macrocells 7.5 ns t PD Commercial,

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

LatticeSC sysclock PLL/DLL User s Guide

LatticeSC sysclock PLL/DLL User s Guide July 2008 Introduction Technical Note TN1098 This user s guide describes the clocking resources available in the LatticeSC architecture. Details are provided for primary clocks, edge clocks, and secondary

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

Memory System Design. Outline

Memory System Design. Outline Memory System Design Chapter 16 S. Dandamudi Outline Introduction A simple memory block Memory design with D flip flops Problems with the design Techniques to connect to a bus Using multiplexers Using

More information

Embedded Applications. COMP595EA Lecture03 Hardware Architecture

Embedded Applications. COMP595EA Lecture03 Hardware Architecture Embedded Applications COMP595EA Lecture03 Hardware Architecture Microcontroller vs Microprocessor Microprocessor is a term used to describe all programmed computational devices. Microcontroller is a term

More information

Description. Device XC5202 XC5204 XC5206 XC5210 XC5215. Logic Cells ,296 1,936. Max Logic Gates 3,000 6,000 10,000 16,000 23,000

Description. Device XC5202 XC5204 XC5206 XC5210 XC5215. Logic Cells ,296 1,936. Max Logic Gates 3,000 6,000 10,000 16,000 23,000 R XC52 Series Field Programmable Gate Arrays November 5, 1998 (Version 5.2) * Product Specification Features Low-cost, register/latch rich, SRAM based reprogrammable architecture -.5µm three-layer metal

More information