TSTE12 Design of Digital Systems. TSTE12 Practical issues 09/05/ :48. Project group definition published Wednesday 6/9.

Size: px
Start display at page:

Download "TSTE12 Design of Digital Systems. TSTE12 Practical issues 09/05/ :48. Project group definition published Wednesday 6/9."

Transcription

1 TSTE12 Design of Digital Systems 1 Friendly reminder 2 Lecture 4 Project group definition published Wednesday 6/9 Practical issues Project information Hardware details (DE2-115 board) Mark the project participation list! 1 st project meeting (with supervisor) deadline 8/9 Requirement specification More detailed simulation model 1 st version deadline Tuesday 12/9 Inertial and transport delay Final version deadline Friday 15/9 Lab deadline Lab 1 completed by 13/9 at to be allowed project participation TSTE12 Practical issues 3 Useful resources 4 Sign up for lab group Lists outside ISYtan (B-Building, 1 st floor, corridor C, between entrance 25 and 27) Each group will be one or two people Directory /sw/altera/kits/de2_115_v.1.0.5_systemcd Lab board Datasheets, schematic over hardware Lab open 24/7, keycards have been upgraded Other labs may be used, using remote login to ixtab Can not do the lab without first signing up See timeedit for available other labs All software have online manuals See help menu

2 Handin information 5 Handin information, cont. 6 1 st handin deadline Monday 18 September Available on web from Monday 11 September Use web page to hand in answers to theory questions (see homework entry on web page) Use your own home directory for code (Not lab group directory) Use ~/TSTE12/EXAM/Part1/src/ Start a TSTE12handin shell and work from there module load TSTE12 ; tste12handin Create handin code answers using a plain text editor emacs, vim, or the built-in editor in modelsim (started from within the handin12shell window) See in the tutorial how start and use modelsim Remember to compile and simulate the design Will use source code for checking handin results Do not use handin directory for anything else but handin code you make yourself! Anything located in ~/TSTE12/EXAM must be your own work Hand-in reminder 7 Project information 8 Hand-ins are checked automatically (using scripts) Project directory will be available for all groups /site/edu/es/projects/projgroupxx Make sure all names are correct in code answers Access only to members of the group Directories used in the hand-ins are locked at answer deadline Test your code! Do not assume that you have written correct code. This directory must be used Not enough to use other internal/external sites There will be quota defined (approx 750 MB) NOTE: Do NOT use hdl-designer (do not start the software using tste12lab or tste12proj) See modelsim chapter in the tutorial on exercise page

3 Project directive, high priority Directive document available on the web 9 Project directive, options, medium priority Oscilloscope 10 ecification_2015.pdf Volume & balance control Vertical vs horizontal Zoom, color coding, average FFT Volume is logarithmic Complex algorithm Simplifications are often possible Multiplication is generally expensive Use available designs if possible (include reference in documentation) Amplification (factor > 1) may lead to overflow! Each project group make their own choice No problem if more than one group selects the same project Project directive, options, medium priority Echo 11 Project directive, options, medium priority Signal level indication 12 Long echo requires large memory (2MByte SRAM) Peak, average Number representation Screen update ~ 50Hz 44 Khz, 20 bit, stereo => 220KByte/s Do not want a flickering output Dynamic range Large number of samples to average on Accuracy 2's complement/floating point Controls? On/off Length Peak indication move slowly towards zero quickly updated when large peak found Compare with various media player formats Strength

4 Project directive, options, medium priority Loudness, supression of mono 13 Big hall simulation (reverb) 14 Loudness control => attenuate medium frequencies Principle: Echoes from walls and objects Equalizer Requires understanding of digital filters Test filter algorithms using Matlab Other sound modification algorithms possible Reverb, Flange Pitch shifts... Reverb, cont. 15 Reverb algorithm 16 Three parts of the sound Direct path Delayline with feedback Early reflections Decaying mix of reflexions Time in the echoes are short Example: 5,10,20,30,45,60,75 ms versions added together Add together a number of attenuated taps Create attenuated feedback

5 Project directive, low priority 17 Project directive, low priority 18 Try to think of a lot of optional features Play around with additional hardware Color coding, background image 2GB SD-card Animations, different VGA screen backgrounds Remote control Special keys to use (e.g., arrow keys) 5 Mpixel camera module Change parameters at run time Additional volume/balance steps... Try to optimize the size of the design Many clock cycles between input samples Project issues 19 Important reminder 20 Expected project participation conduct Weekly meeting transcripts Do no be late to meetings Meeting every week (without supervisor) required! Inform the rest of the group if you have problem attending a meeting (in advance if possible) Keep track of your project work, noting amount and type of task Documents should be discussed and approved by supervisor Possible to fail project even if design works Possible for individual to fail project even if rest of group get a pass! Meeting transcript submitted to supervisor within two days of the meeting! Send Use meeting transcript template /site/edu/es/tste12/material/project/lips-templates The supervisor need to understand what you have done, what you will do, and how many hours each individual have worked on the project.

6 Project hardware 21 Project 22 All FPGA board documentation Note on templates /sw/altera/kits/de2_115_v.1.0.5_systemcd Hardware will be located in the lab from the first lab to the last day of the project Hint: Check both chip datasheets AND the schematic showing how a unit is connected on the board! They are imports of the Microsoft Office versions Help point out information that should be in document Formatting not critical, but please try to fix problems Latex documents acceptable if in pdf-format with the same information as the libreoffice templates Project, cont. 23 Design flow and tools 24 Hints about Requirement specification Three types of activities in the course Possible subsystem: control, display, audio processing Handin Add plenty of features Lab Avoid multiple requirements in one requirement statement Hints about design specification Should indicate idea about general building blocks Interfaces (signals/data to communicate) Behavior Project For handins: use simple text editor + modelsim Start the TSTE12handin shell Write code, compile, simulate Chapter 2 tutorial notes shows how to use modelsim

7 HDL Designer tool 25 HDL Designer tool, cont. 26 Design entry tool, main entry tool to the project Top level: The project (defined by xxx.hdp file) Tutorial also available to get you started Tools used to manage libraries, design, and other tools for use by larger designer groups Graphic and text design entry Tool startup configurations Contains list of libraries, (1 or more) Each library contains design units Described as components (green and blue boxes) Each unit have different view Graphic and/or textual Various forms of architectures (text, block, FSM, ) Support many different languages and tools Version control, team management... A default architecture view is indicated by a blue arrow Interfaces with simulation and synthesis tools Highly configurable HDL Designer tool, cont. 27 File IO 28 Green boxes (components) Differs between 87 and 93 standard! Fixed interface (does not automatically update) mostly syntax differences Possible to reuse in multiple designs Formatted IO Blue boxes (subsystems) Not generally human readable (platform dependent) Updates interface when adding/removing inputs/outputs in block diagram Tools can generate valid vhdl from graphical representation (schematics, state machines, etc.) Text IO Human readable Can only be in or out, not inout (87 specific)

8 29 30 File Text IO VHDL 93 Special package STD.TEXTIO Most of 87 is the same, only minor changes File is accessed in steps Full ISO character set (256 values) Declare file (Opens the file) file my_file : text is in my_inputs.vec ; Read a complete line readline(my_file,text_line); Read individual data from the line read(textline,inputval); Extended identifiers Delimited by \, e.g., \74ls04\ May contain reserved words Always different from short identifier, e.g., \XYZ\ is not the same as XYZ VHDL 93, cont. 31 VHDL 93, cont. Direct instantiation in structural code 32 Some minor declaration simplificatons Component declarations may end with end componentname instead of end component Other similar changes applied to configurations, blocks, processes, records, if, case, subprograms Postponed processes No need to declare the component before instantiation Expressions on inputs Assign fixed values on inputs to blocks in structural code. Shared variables Executed only when all other processes for a given simulation time step has completed (stable signals) Improved reporting Include variable values in report string

9 VHDL VHDL 2008, cont. 34 Simplified sensitivity list Conditional assignment in sequential code Keyword all will replace all signals used in the process NextState <= FLASH when FP else IDLE Unary logic functions Simplified conditions If statements accept bit and std_logic result Additional relational operators?=,?/=,?>,?<= Return element value (bit or std_logic) when comparing vectors Signal Data : std_logic_vector(7 downto 0); Signal parity : std_logic; Parity <= xor Data; Array / Bit logic Signal Sel: std_logic; Signal Y, A : unsigned(3 downto 0); Y <= A and Sel; Will expand Sel to vector matching A VHDL 2008, cont. 35 VHDL model testing 36 Expressions in port maps Testbench U_CHIP: CHIP port map (A, Y and C, B); Reading out ports in entity Standard approach Test of design will be independent of simulation tool Define referenced sets of packages as context Testbench contents Stimuli generation Design under test (DUT) Analysis of design output The stupid user approach Stimuli DUT Analysis Load design, run -all, wait for simulation to stop, look at printouts

10 VHDL model testing, cont. 37 Modeling techniques 38 Stimuli Expected input signals, including reset and clocks Usually validation only (typical inputs) Analysis Check if output is the expected one Make sure test is done at correct time Report results (ok/non-ok) Stimuli Stimuli and synthesis not synthesized, only for simulation! DUT Analysis Details on the VHDL delay model and concurrency How to create a more accurate simulation model Examples of some common primitives in digital systems Some new language constructs not yet covered Timing and concurrency 39 Signals vs Variables 40 Simulate hardware (concurrent events) on a sequential machine (computer) Must have the same result from simulation independent of execution order of individual events Electronic signals can not change in 0 seconds Common sequential code assumes variables are updated before the next state is executed Both variables and signals can be synthesized Delay is important in simulation of hardware

11 Signals vs Variables, example 41 Signal assignment with delta delay 42 X: Y: Z: initial t1 t1+2 t1+4 t1+6 AS <= X*Y after 2 ns; BS <= AS+Z after 2 ns; AS: BS: AV := X*Y; BV := AV + Z; AV: BV: Minimum delay is a delta delay Delta delay is > 0 but much smaller than the minimum time step X: Y: Z: initial t1 t1+delta t1+2*delta AS <= X*Y; BS <= AS+Z; AS: BS: Delta delay Can not be explicitly specified Delta delays will never add up to a simulation delay in seconds (standard time) Sometimes referred to as Macro (simulation time) and micro (delta delays) timing. 43 Simulation cycle including delta delay 1. If no entries in queue then stop, else increase time to next time entry in queue 2. Start a new simulation cycle without advancing simulation time. Remove all entries scheduled for current simulation time, update all signals. Activate triggered processes 3. Execute activated processes. Schedule new time queue entries. 4. If there are new transactions on signals due to assignment with delta delay, then goto 2, otherwise goto 1 44

12 45 46 Macro and micro timing Time may stand still in simulation by continuous signal updates Example: process triggered by a signal that it is updating Combinatorial loops without macro delay in assignments Simulation models Delta delay only Functional verification of models Standard time unit delay only Validate system timing Mixed Delta delay where delay is not important Standard time unit delay where delay is significant Study system timing Entity BUFF is port (X: in BIT; Z out BIT); end; Architecture ONE of BUFF is signal Y: BIT; process(x) variable Y : BIT; Z <= X; end process; end ONE; architecture TWO of BUF is signal Y: BIT; process(x) Y <= X; end process Z <= Y; end TWO; Example of models Simple buffer examples All buffers have different propagation delay Difference in delta delay is difficult to see in waveform windows Possible to create multiple delta delay architecture THREE of BUF is signal Y1,Y2: BIT; Y1 <= X; Y3 <= Y2; Y2 <= Y1; Z <= Y3; end THREE; 47 Architecture FIVE of BUFF is signal Y5: BIT; process(x) Y5 <= X; Z <= Y5; end process; end FIVE; architecture FIVE_A of BUF is signal Y5: BIT; process(x,y5) Y5 <= X; Z <= Y5; end process end FIVE_A; Example of models, cont. Two almost identical buffers Have very different simulation behavour Both probably generate same hardware in synthesis Lacking entries in sensitivity list Solution: Always add all input signals to the sensitivity list Drawback: unnecessary process triggering may give slower simulation 48

13 Inertial and Transport delay Delay can be of two types (3 in VHDL93) Inertial If input change again before end of delay then do not update output Filter out short glitches (RC delay) Z <= I after 10 ns; Transport True delay of signal (like transmission lines) Z <= transport I after 10 ns; Reject (VHDL93) Q <= reject 4 ns inertial a after 10 ns; 49 Implementation of Inertial and Transport delay Important to understand why a signal change may not reach the assigned signal Transaction Pair of value and time. What value when Waveform A series of transactions (sorted by time value) Current value of driver Value of transaction whose time is not greater than current simulation time. Removed when simulation time is updated if next transaction time is reached Q_tmp <= A after 4 ns; Q <= Q_tmp after 6 ns; 50 Waveform update algorithm 1. All old transactions with time at or after earliest new transaction are deleted. Add new transactions to the waveform If inertial then 2. Mark all new transactions 3. Mark old transaction if it immediately precedes a marked transition and its value is the same as the marked transaction 4. Mark the current value transaction 5. All unmarked transactions are removed 51 Waveform update example Z <= I after 10 ns; (I is a 5 ns pulse starting at t=0) First change Z updated to '1' at t=0, (10,'1') transaction added Both current and transaction marked and kept Second change, Z updated to '0' at t=5, (15,'0') transaction added If inertial: (10,'1') not marked, removed 52

14 53 Inertial delay side effects Process Z <= '1' after 50 ns; Z <= '0' after 100 ns; wait; end process; Only executed once at start First assignment is eliminated by second assignment Use transport or combined assignment to get pulse Z <= transport '1' after 50 ns; Z <= transport '0' after 100 ns; Z <= '1' after 50 ns, '0' after 100 ns;

Sign up for lab group Final version deadline Friday 14/9

Sign up for lab group Final version deadline Friday 14/9 TSTE12 Design of Digital Systems 1 Friendly reminder 2 Lecture 4 Practical issues Project information Hardware details (DE2-115 board) Final details on VHDL 2008 Project group definition master and other

More information

TSTE12 Design of Digital Systems. TSTE12 Practical issues. TSTE12 Practical issues. Lecture 3. Sign up for lab group

TSTE12 Design of Digital Systems. TSTE12 Practical issues. TSTE12 Practical issues. Lecture 3. Sign up for lab group TSTE12 Design of Digital Systems 1 TSTE12 Practical issues 2 Lecture 3 Practical issues Sign up for lab group Introduction to VHDL, continued Lists outside ISYtan (B-Building, 1 st floor, corridor C, between

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

TKT-1212 Digitaalijärjestelmien toteutus. Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008

TKT-1212 Digitaalijärjestelmien toteutus. Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008 TKT-1212 Digitaalijärjestelmien toteutus Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008 Contents Purpose of test benches Structure of simple test bench Side note about delay modeling in VHDL

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Summary of FPGA & VHDL

Summary of FPGA & VHDL FYS4220/9220 Summary of FPGA & VHDL Lecture #6 Jan Kenneth Bekkeng, University of Oslo - Department of Physics 16.11.2011 Curriculum (VHDL & FPGA part) Curriculum (Syllabus) defined by: Lectures Lecture6:

More information

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu Sept 13, 2006 Lecture 3: Basic VHDL constructs Signals, Variables, Constants VHDL Simulator and Test benches Types Reading: Ashenden

More information

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN Lecture 9 VHDL, part IV Hierarchical and parameterized design Section 1 HIERARCHICAL DESIGN 2 1 Dealing with Large Digital System Design 1. Apply hierarchy to the design At the highest level use larger

More information

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 6, 2006 Classes November 6 and 8 are in 429 Dana! Lecture 15: Homework 5: Datapath How to write a testbench for synchronous

More information

EEL 4783: Hardware/Software Co-design with FPGAs

EEL 4783: Hardware/Software Co-design with FPGAs EEL 4783: Hardware/Software Co-design with FPGAs Lecture 9: Short Introduction to VHDL* Prof. Mingjie Lin * Beased on notes of Turfts lecture 1 What does HDL stand for? HDL is short for Hardware Description

More information

VHDL Introduction to tools. TSTE12 Datorteknik Kent Palmkvist, Thomas Johansson. Version

VHDL Introduction to tools. TSTE12 Datorteknik Kent Palmkvist, Thomas Johansson. Version VHDL Introduction to tools TSTE12 Datorteknik Kent Palmkvist, Thomas Johansson Version 0.5 2017-08-30 1 1 Introduction This handbook is your guide to the VHDL simulation tool set used in the course. You

More information

VHDL Essentials Simulation & Synthesis

VHDL Essentials Simulation & Synthesis VHDL Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using VHDL standard language. The course

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents VHDL Testbench Tutorial 1 Contents 1 VHDL Testbench 2 Test Bench Syntax 3 Testbench Example: VHDL Code for Up Down Binary Counter 4 VHDL Testbench code for up down binary counter 5 Testbench Waveform for

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.2.2: VHDL-1 Liang Liu liang.liu@eit.lth.se 1 Outline VHDL Background Basic VHDL Component An example FSM Design with VHDL Simulation & TestBench 2

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks. Outline CPE/EE 422/522 Advanced Logic Design L05 Electrical and Computer Engineering University of Alabama in Huntsville What we know Combinational Networks Sequential Networks: Basic Building Blocks,

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL EE 459/500 HDL Based Digital Design with Programmable Logic Lecture 4 Introduction to VHDL Read before class: Chapter 2 from textbook (first part) Outline VHDL Overview VHDL Characteristics and Concepts

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

VHDL simulation and synthesis

VHDL simulation and synthesis VHDL simulation and synthesis How we treat VHDL in this course You will not become an expert in VHDL after taking this course The goal is that you should learn how VHDL can be used for simulation and synthesis

More information

ENGR 5865 DIGITAL SYSTEMS

ENGR 5865 DIGITAL SYSTEMS ENGR 5865 DIGITAL SYSTEMS ModelSim Tutorial Manual January 22, 2007 Introduction ModelSim is a CAD tool widely used in the industry for hardware design. This document describes how to edit/add, compile

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) -

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) - Sistemas Digitais I LESI - 2º ano Lesson 5 - VHDL Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática - Introduction - VHDL was developed, in the mid-1980s, by DoD and IEEE. VHDL stands

More information

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET INTRODUCTION TO VHDL Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET VHDL Resources Other Sources manufacturers web pages http://www.xilinx.com http://www.altera.com

More information

TSTE12 Design of Digital Systems. Project status 09/10/ :40. 1 st handin published today Monday 11/9 Lecture 7. Weekly meeting transcripts

TSTE12 Design of Digital Systems. Project status 09/10/ :40. 1 st handin published today Monday 11/9 Lecture 7. Weekly meeting transcripts TSTE12 Design of Digital Systems 1 Friendly reminder 2 1 st handin published today Monday 11/9 Lecture 7 Deadline Monday 18/9 23:59 Practical Issues, hardware info Control branching, time multiplexing

More information

Advanced module: Video en/decoder on Virtex 5

Advanced module: Video en/decoder on Virtex 5 Advanced module: Video en/decoder on Virtex 5 Content 1. Advanced module: Video en/decoder on Virtex 5... 2 1.1. Introduction to the lab environment... 3 1.1.1. Remote control... 4 1.2. Getting started

More information

ENSC 350 ModelSim Altera Tutorial

ENSC 350 ModelSim Altera Tutorial ENSC 350 ModelSim Altera Tutorial This is a quick guide get you started with the ModelSim Altera simulator. ModelSim is only a functional verification tool so you will also have to use Quartus II to complete

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 2, 2009 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Reconfigurable Hardware Design (coursework)

Reconfigurable Hardware Design (coursework) EEE8076 Reconfigurable Hardware Design (coursework) Dr A. Bystrov Dr. E.G. Chester Autumn 2010 Module Outline Teaching Staff Dr Alex Bystrov Dr Graeme Chester The contact details are in the EECE web page

More information

CCE 3202 Advanced Digital System Design

CCE 3202 Advanced Digital System Design CCE 3202 Advanced Digital System Design Lab Exercise #2 This lab exercise will show you how to create, synthesize, and test a 3-bit ripple counter. A ripple counter is simply a circuit that outputs the

More information

Embedded Systems CS - ES

Embedded Systems CS - ES Embedded Systems - 1 - REVIEW Hardware/System description languages VDHL VHDL-AMS SystemC TLM - 2 - VHDL REVIEW Main goal was modeling of digital circuits Modelling at various levels of abstraction Technology-independent

More information

Control and Datapath 8

Control and Datapath 8 Control and Datapath 8 Engineering attempts to develop design methods that break a problem up into separate steps to simplify the design and increase the likelihood of a correct solution. Digital system

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

Lecture 5: Aldec Active-HDL Simulator

Lecture 5: Aldec Active-HDL Simulator Lecture 5: Aldec Active-HDL Simulator 1. Objective The objective of this tutorial is to introduce you to Aldec s Active-HDL 9.1 Student Edition simulator by performing the following tasks on a 4-bit adder

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1 DIGITAL LOGIC WITH VHDL (Fall 23) Unit DESIGN FLOW DATA TYPES LOGIC GATES WITH VHDL TESTBENCH GENERATION DESIGN FLOW Design Entry: We specify the logic circuit using a Hardware Description Language (e.g.,

More information

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1)

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1) September 3, 2018 GETTING STARTED WITH VHDL 2 Top-down design VHDL history Main elements of VHDL Entities and architectures Signals and processes Data types Configurations Simulator basics The testbench

More information

Digital Design LU. Lab Exercise 1

Digital Design LU. Lab Exercise 1 Digital Design LU Lab Exercise 1 Jakob Lechner, Thomas Polzer {lechner, tpolzer}@ecs.tuwien.ac.at Department of Computer Engineering University of Technology Vienna Vienna, October 4, 2010 1 Overview 1

More information

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\ CSCI 250 - Lab 3 VHDL Syntax Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\ Objectives 1. Learn VHDL Valid Names 2. Learn the presentation of Assignment and Comments 3. Learn Modes, Types, Array,

More information

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0 Using ModelSim to Simulate Logic Circuits in VHDL Designs For Quartus II 13.0 1 Introduction This tutorial is a basic introduction to ModelSim, a Mentor Graphics simulation tool for logic circuits. We

More information

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements EECE-4740/5740 Advanced VHDL and FPGA Design Lecture 3 Concurrent and sequential statements Cristinel Ababei Marquette University Department of Electrical and Computer Engineering Overview Components hierarchy

More information

Test Benches - Module 8

Test Benches - Module 8 Test Benches Module 8 Jim Duckworth, WPI 1 Overview We have concentrated on VHDL for synthesis Can also use VHDL as a test language Very important to conduct comprehensive verification on your design To

More information

Lecture 3 Introduction to VHDL

Lecture 3 Introduction to VHDL CPE 487: Digital System Design Spring 2018 Lecture 3 Introduction to VHDL Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 Managing Design

More information

ECE 3401 Lecture 10. More on VHDL

ECE 3401 Lecture 10. More on VHDL ECE 3401 Lecture 10 More on VHDL Outline More on VHDL Some VHDL Basics Data Types Operators Delay Models VHDL for Simulation VHDL for Synthesis 1 Data Types Every signal has a type, type specifies possible

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 3, 2011 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation

EECS150 - Digital Design Lecture 6 - Logic Simulation EECS150 - Digital Design Lecture 6 - Logic Simulation Sep. 17, 013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Digital Design and Computer Architecture

Digital Design and Computer Architecture Digital Design and Computer Architecture Introduction Lab 4: Thunderbird Turn Signal In this lab, you will design a finite state machine in SystemVerilog to control the taillights of a 1965 Ford Thunderbird

More information

ECE 545 Lecture 4. Simple Testbenches. George Mason University

ECE 545 Lecture 4. Simple Testbenches. George Mason University ECE 545 Lecture 4 Simple Testbenches George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 2.2.4, Testbenches 2 Testbenches ECE 448 FPGA and ASIC Design with VHDL 3 Testbench

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM Department of Computing Course 112 Hardware First Year Laboratory Assignment Dates for the session 2005-2006: Hand out Date: 10 th January 2006 Hand in deadline (electronic and written report): 17.00 Monday

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

ECE Digital Design Laboratory. Lecture 3 Finite State Machines!

ECE Digital Design Laboratory. Lecture 3 Finite State Machines! ECE 4401 - Digital Design Laboratory Lecture 3 Finite State Machines! 1!!!! Synchronous Sequential Circuits!!! Synchronous sequential logic circuits are realized using combinational logic and storage elements

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

Basic Language Concepts

Basic Language Concepts Basic Language Concepts Sudhakar Yalamanchili, Georgia Institute of Technology ECE 4170 (1) Describing Design Entities a sum b carry Primary programming abstraction is a design entity Register, logic block,

More information

Functions, Procedures, and Testbenches

Functions, Procedures, and Testbenches Lab Workbook Introduction VHDL lets you define sub-programs using procedures and functions. They are used to improve the readability and to exploit re-usability of VHDL code. Functions are equivalent to

More information

The process. Sensitivity lists

The process. Sensitivity lists The process process itself is a concurrent statement but the code inside the process is executed sequentially Process label (optional) Process declarative region Process body entity Test is, : in bit;

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

The Optimization of a Design Using VHDL Concepts

The Optimization of a Design Using VHDL Concepts The Optimization of a Design Using VHDL Concepts Iuliana CHIUCHISAN 1, Alin Dan POTORAC 2 "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava 1 iuliap@eed.usv.ro, 2 alinp@eed.usv.ro

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Lab Exercise 1 Design Flow and VHDL

Lab Exercise 1 Design Flow and VHDL Lab Exercise 1 Design Flow and VHDL INF3430/4431 Autumn 2016 Version 1.2/17.08.2016 Note! Before you start, read this: Mandatory assignments and other hand-ins at the Department of Informatics at http://www.mn.uio.no/ifi/english/studies/admin/mandatoryassignments/index.html.

More information

Introduction to VHDL. Main language concepts

Introduction to VHDL. Main language concepts Introduction to VHDL VHSIC (Very High Speed Integrated Circuit) Hardware Description Language Current standard is IEEE 1076-1993 (VHDL-93). Some tools still only support VHDL-87. Tools used in the lab

More information

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL IE24 Digital Design L7: Combinational circuits, Introduction to VHDL Elena Dubrova KTH / ICT / ES dubrova@kth.se This lecture BV 38-339, 6-65, 28-29,34-365 IE24 Digital Design, HT 24 2 The multiplexer

More information

Tutorial on Simulation using Aldec Active-HDL Ver 1.0

Tutorial on Simulation using Aldec Active-HDL Ver 1.0 Tutorial on Simulation using Aldec Active-HDL Ver 1.0 by Shashi Karanam Introduction Active- HDL is an integrated environment designed for development of VHDL designs. The core of the system is a VHDL

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives Formation VHDL Language: FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) - Programmation: Logique Programmable V1 - VHDL Language FPGA Programming

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

CMPT 250: Computer Architecture. Using LogicWorks 5. Tutorial Part 1. Somsubhra Sharangi

CMPT 250: Computer Architecture. Using LogicWorks 5. Tutorial Part 1. Somsubhra Sharangi CMPT 250: Computer Architecture Using LogicWorks 5 Tutorial Part 1 Somsubhra Sharangi What is VHDL? A high level language to describe digital circuit Different that a programming language ( such as Java)

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden Synthesis from VHDL Krzysztof Kuchcinski Krzysztof.Kuchcinski@cs.lth.se Department of Computer Science Lund Institute of Technology Sweden March 23, 2006 Kris Kuchcinski (LTH) Synthesis from VHDL March

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory Instructor John Chandy Office: ITEB 437 Office Hours: W10-12 Tel: (860) 486-5047 Email: john.chandy@uconn chandy@uconn.edu Class home page: HuskyCT

More information

Hardware description languages

Hardware description languages Specifying digital circuits Schematics (what we ve done so far) Structural description Describe circuit as interconnected elements Build complex circuits using hierarchy Large circuits are unreadable Hardware

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - V Introduction to Verilog Hardware Description Language Introduction HDL for combinational circuits Sequential circuits Registers and counters HDL description for binary multiplier. 5.1 INTRODUCTION

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices Internet Engineering Dr. Jarosław Sugier Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices This document presents software packages

More information

Computer-Aided Digital System Design VHDL

Computer-Aided Digital System Design VHDL بس م اهلل الر حم ن الر حی م Iran University of Science and Technology Department of Computer Engineering Computer-Aided Digital System Design VHDL Ramin Rajaei ramin_rajaei@ee.sharif.edu Modeling Styles

More information

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: /)

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage:   /) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: www.ee.ic.ac.uk/pcheung/teaching/e2_experiment /) 1.0 Learning Outcomes

More information

Chip Design with FPGA Design Tools

Chip Design with FPGA Design Tools Chip Design with FPGA Design Tools Intern: Supervisor: Antoine Vazquez Janusz Zalewski Florida Gulf Coast University Fort Myers, FL 33928 V1.9, August 28 th. Page 1 1. Introduction FPGA is abbreviation

More information

Introduction to VHDL #3

Introduction to VHDL #3 ECE 322 Digital Design with VHDL Introduction to VHDL #3 Lecture 7 & 8 VHDL Modeling Styles VHDL Modeling Styles Dataflow Concurrent statements Structural Components and interconnects Behavioral (sequential)

More information

Assignment 01 Computer Architecture Lab ECSE

Assignment 01 Computer Architecture Lab ECSE Assignment 01 Computer Architecture Lab ECSE 487-001 Date due: September 22, 2006, Trottier Assignment Box by 14:30 1 Introduction The purpose of this assignment is to re-familiarize the student with VHDL

More information

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Introduction to Verilog

More information

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog. Hardware Description Languages: Verilog Quick History of HDLs Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 ISP (circa 1977) -

More information

Hardware Description Languages: Verilog

Hardware Description Languages: Verilog Hardware Description Languages: Verilog Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 Quick History of HDLs ISP (circa 1977) -

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits M1 Informatique / MOSIG Introduction to Modeling and erification of Digital Systems Part 4: HDL for sequential circuits Laurence PIERRE http://users-tima.imag.fr/amfors/lpierre/m1arc 2017/2018 81 Sequential

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language Lab #1 Lecture 8, 9, &10: FPGA Dataflow and Verilog Modeling February 9, 11, 13, 2015 Prof R Iris Bahar Lab #1 is posted on the webpage wwwbrownedu/departments/engineering/courses/engn1640 Note for problem

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: Before class, September 23rd, 2008 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor

More information

[VARIABLE declaration] BEGIN. sequential statements

[VARIABLE declaration] BEGIN. sequential statements PROCESS statement (contains sequential statements) Simple signal assignment statement

More information

Sequential Logic - Module 5

Sequential Logic - Module 5 Sequential Logic Module 5 Jim Duckworth, WPI 1 Latches and Flip-Flops Implemented by using signals in IF statements that are not completely specified Necessary latches or registers are inferred by the

More information