Announcement. VHDL in Action. Review. Statements. Process Execution with no sensitivity list. Sequential Statements

Size: px
Start display at page:

Download "Announcement. VHDL in Action. Review. Statements. Process Execution with no sensitivity list. Sequential Statements"

Transcription

1 Annuncement Prject 2: Assigned tday, due 9/30 ning f class. VHDL in Actin Mdeling a binary/gray cunter. As always, start early Chapter 3 Sequential Statements 1 Review Statements Architecture bdy Cncurrent signal assignments Cmpnent instantiatins Prcesses Testbenches Prtable way t validate a mdel Stimulus nly, r stimulus + validatin Sequential statements Inside blcks Similar t "nrmal" prgramming Cncurrent statements Outside f blcks within an architecture bdy Cncurrent == all evaluated at the same time. 3 4 Sequential Statements Prcess Executin with n sensitivity list. Remember that statements within a PROCESS blck are executed sequentially. Because f this, PROCESS cde is similar t sftware (C/C++/Pascal/...) [( sensitivity_list )] declaratin_sectin _bdy 1. Declaratins elabrated 2. Bdy is executed 3. Repeat: return t BEGIN 4. Lp frever -- Variable Declaratins -- Sequential Statements 5 6 1

2 Prcess Executin with Sensitivity Lists Preview 1. Declaratins elabrated 2. Bdy is executed 3. Wait until signals in sensitivity list change. 4. Lp t BEGIN NO YES Did a,b, r c change? (A, B, C) -- Variable Declaratins -- Sequential Statements Sensitivity List wait if/then/else case next exit null 7 8 WAIT Statement WAIT Statement wait [n sensitivity_list] [until cnditin ] [fr time_expr]; Suspends the enclsing. variable A: Bit :='0'; wait fr 100 ns; A := nt A; 9 Time WAITs wait fr 100 ns; Signal WAITs wait n A,B,C; Cnditin WAITs wait until Z='0'; -- Z must change t 0 Cmb WAITs wait n A,B until Z='1'; wait n A,B,C fr 100 ns; wait n X,Y until Z='0' fr 100 ns; 10 Nte n WAITs Using WAITs Tw types f : with sensitivity list withut sensitivity list Prcess withut sensitivity list must have WAIT statement(s) t prevent infinite s Prcess with sensitivity list may NOT have WAIT statements

3 Cmparisn Relatinal Operatrs The fllwing are equivalent: ( S1, S2 ) X1 <= S1 r S2; X1 <= S1 r S2; wait n S1, S2; Express relatinships between signals r variables: = /= < <= > >= IF Statement IF Statement Usage very similar t C/C++ (A) if (A = '1') then B <= C +1; else C <= C - 1; end if; Nte: the ELSE clause is ptinal 15 if cnditin1 then sequential statement(s)1 elsif cnditin2 then sequential statement(s)2 elsif cnditin3 then sequential statement(s)3 else sequential statement(s)4 end if; 16 CASE Statement CASE Statement Similar t SWITCH/CASE in C/C++ ( LIGHT_COLOR ) case LIGHT_COLOR is when RED => TRAFFIC <= FALSE; when YELLOW => TRAFFIC <= TRUE; when GREEN => TRAFFIC <= TRUE; DONTWALK <= '1'; when thers => LIGHT_BROKEN <= TRUE; case discriminant is when chice1 => sequential_statements(1) ; when chice2 => sequential_statements(2) ; when chice3 => sequential_statements(3) ; when chicen => sequential_statements(n) ; NOTE: Chice cnditins must be mutually exclusive and must include all pssible values f discriminant

4 Similar t FOR and DO/WHILE statements in C/C++ wait until clk = '1' and clk'event; fr i in 0 t 9 a(i) <= a(i+1); NOTE: The variable i is an index variable, and is ne f the few instances in VHDL where a variable des nt need t be declared first. 19 fr parameter in discrete_range 20 Anther variatin: variable cunt : integer; sum <= 12; cunt := 0; while sum <= A wait until clken = '1'; cunt := cunt + 1; sum <= sum + 12; while blean_cnditin NEXT Statement General Lp Pssibly an infinite. NEXT statements can be used t mdify the executin f a LOOP statement. Terminates executin f a particular iteratin (skipping iteratins). Frms: 1. next; 2. next when cnditin ; 3. next label ; 4. next label when cnditin ;

5 NEXT Statement EXIT Statement FRED: fr i in 10 dwnt 0 sequential_statements1 next FRED when i = 3; sequential_statements2 25 EXIT statements can be used t terminate the executin f a LOOP statement. Terminates all remaining iteratins f a Frms: 1. exit; 2. exit when cnditin ; 3. exit label ; 4. exit label when cnditin ; 26 EXIT Statement NULL Statement SAM: while DONE = false -- sequential_statements1 exit when DONE = true; -- sequential_statements2 27 Des nthing -- filler ( SEL ) case SEL is when 0 => decut <= "00"; when 1 => decut <= "01"; when 2 => decut <= "10"; when 3 => decut <= "11"; when thers => null; 28 Summary Sequential cnstructs Lps: Fr/while Case If/then/elsif/else Prcess executin Sensitivity lists vs. wait statements Next time: Cncurrent cnstructs 29 5

wait on until ' for ECE 4514 Martin 2002 ECE 4514 Martin 2002 ECE 4514 Martin 2002 architecture begin : process begin end process;

wait on until ' for ECE 4514 Martin 2002 ECE 4514 Martin 2002 ECE 4514 Martin 2002 architecture begin : process begin end process; Last time VHDL in Actin Chapter 3 Cncurrent Statements Sequential cnstructs Lps: Fr/while Case If/then/elsif/else Prcess executin Sensitivity lists vs. wait statements Cmpund waits: wait n X,Y until ='0'

More information

DECISION CONTROL CONSTRUCTS IN JAVA

DECISION CONTROL CONSTRUCTS IN JAVA DECISION CONTROL CONSTRUCTS IN JAVA Decisin cntrl statements can change the executin flw f a prgram. Decisin cntrl statements in Java are: if statement Cnditinal peratr switch statement If statement The

More information

CS1150 Principles of Computer Science Loops

CS1150 Principles of Computer Science Loops CS1150 Principles f Cmputer Science Lps Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Annuncement HW1 graded HW2 due tnight HW3 will be psted sn Due

More information

Iteration Part 2. Review: Iteration [Part 1] Flow charts for two loop constructs. Review: Syntax of loops. while continuation_condition : statement1

Iteration Part 2. Review: Iteration [Part 1] Flow charts for two loop constructs. Review: Syntax of loops. while continuation_condition : statement1 Review: Iteratin [Part 1] Iteratin Part 2 CS111 Cmputer Prgramming Department f Cmputer Science Wellesley Cllege Iteratin is the repeated executin f a set f statements until a stpping cnditin is reached.

More information

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions Eastern Mediterranean University Schl f Cmputing and Technlgy Infrmatin Technlgy Lecture2 Functins User Defined Functins Why d we need functins? T make yur prgram readable and rganized T reduce repeated

More information

The Java if statement is used to test the condition. It checks Boolean condition: true or false. There are various types of if statement in java.

The Java if statement is used to test the condition. It checks Boolean condition: true or false. There are various types of if statement in java. Java If-else Statement The Java if statement is used t test the cnditin. It checks Blean cnditin: true r false. There are varius types f if statement in java. if statement if-else statement if-else-if

More information

Last time. VHDL in Action. Motivation. Covered in This Lesson. Packages. Packages (cont'd)

Last time. VHDL in Action. Motivation. Covered in This Lesson. Packages. Packages (cont'd) Last time VHDL in Actin Packages and Libraries Ch 3, pp. 96-114 Versin f wavefrm updating algrithm t handle reject clauses Macr and micr time Delta delays: Ensure crrect rdering f zer time events Martin

More information

CS1150 Principles of Computer Science Midterm Review

CS1150 Principles of Computer Science Midterm Review CS1150 Principles f Cmputer Science Midterm Review Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Office hurs 10/15, Mnday, 12:05 12:50pm 10/17, Wednesday

More information

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II)

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II) CS1150 Principles f Cmputer Science Blean, Selectin Statements (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 Review What s the scientific ntatin f 9,200,000?

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems VHDL Sequential Processing Spring 2016 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Sequential Statements Sequential statements are executed sequentially. Format ARCHITECTURE architecture_name

More information

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II)

CS1150 Principles of Computer Science Boolean, Selection Statements (Part II) CS1150 Principles f Cmputer Science Blean, Selectin Statements (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 Review What s the scientific ntatin f 9,200,000?

More information

CSE3320 Operating Systems Processes Jia Rao

CSE3320 Operating Systems Processes Jia Rao CSE3320 Operating Systems Prcesses Jia Ra Department f Cmputer Science and Engineering http://ranger.uta.edu/~jra Recap f the Last Class Cmputer hardware Time-sharing Space-sharing Characteristics } Lcality,

More information

LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C

LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C Due: July 9 (Sun) 11:59 pm 1. Prblem A Subject: Structure declaratin, initializatin and assignment. Structure

More information

CS4500/5500 Operating Systems Processes

CS4500/5500 Operating Systems Processes Operating Systems Prcesses Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Ref. MOS3E, OS@Austin, Clumbia, Rchester Recap f the Last Class Cmputer hardware

More information

Purchase Order Approvals Workflow Guide

Purchase Order Approvals Workflow Guide Purchase Order Apprvals Wrkflw Guide Purchase Order Apprvals may nw be activated fr use with Webvantage Purchase Orders nly. Setup and activatin is dne in Advantage Maintenance. This dcument describes

More information

ME Week 5 Project 2 ilogic Part 1

ME Week 5 Project 2 ilogic Part 1 1 Intrductin t ilgic 1.1 What is ilgic? ilgic is a Design Intelligence Capture Tl Supprting mre types f parameters (string and blean) Define value lists fr parameters. Then redefine value lists autmatically

More information

Querying Data with Transact SQL

Querying Data with Transact SQL Querying Data with Transact SQL Curse Cde: 20761 Certificatin Exam: 70-761 Duratin: 5 Days Certificatin Track: MCSA: SQL 2016 Database Develpment Frmat: Classrm Level: 200 Abut this curse: This curse is

More information

Mapping between DFDL 1.0 Infoset and XML Data Model

Mapping between DFDL 1.0 Infoset and XML Data Model Stephen M Hansn (IBM) Mapping between DFDL 1.0 Infset and XML Data Mdel Status f This Dcument This wrking draft dcument prvides infrmatin t the OGF cmmunity n the Data Frmat Descriptin Language (DFDL)

More information

VHDL. Chapter 7. Behavioral Modeling. Outline. Behavioral Modeling. Process Statement

VHDL. Chapter 7. Behavioral Modeling. Outline. Behavioral Modeling. Process Statement Chapter 7 VHDL VHDL - Flaxer Eli Ch 7-1 Process Statement Outline Signal Assignment Statement Variable Assignment Statement Wait Statement If-Then-Else Statement Case Statement Null Statement Loop Statement

More information

Extensible Query Processing in Starburst

Extensible Query Processing in Starburst Extensible Query Prcessing in Starburst Laura M. Haas, J.C. Freytag, G.M. Lhman, and H.Pirahesh IBM Almaden Research Center CS848 Instructr: David Tman Presented By Yunpeng James Liu Outline Intrductin

More information

ECE 545 Project Deliverables

ECE 545 Project Deliverables Tp-level flder: _ Secnd-level flders: 1_assumptins 2_blck_diagrams 3_interface 4_ASM_charts 5_surce_cdes 6_verificatin 7_timing_analysis 8_results 9_benchmarking 10_bug_reprts

More information

CS1150 Principles of Computer Science Introduction (Part II)

CS1150 Principles of Computer Science Introduction (Part II) Principles f Cmputer Science Intrductin (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Review Terminlgy Class } Every Java prgram must have at least

More information

Introduction to Eclipse

Introduction to Eclipse Intrductin t Eclipse Using Eclipse s Debugger 16/04/2010 Prepared by Chris Panayitu fr EPL 233 1 Eclipse debugger and the Debug view Eclipse features a built-in Java debugger that prvides all standard

More information

Purchase Order Approvals Workflow Guide

Purchase Order Approvals Workflow Guide Purchase Order Apprvals Wrkflw Guide Purchase Order Apprvals may nw be activated fr use with Webvantage Purchase Orders nly. Setup and activatin is dne in Advantage Maintenance. This dcument describes

More information

Dashboard Extension for Enterprise Architect

Dashboard Extension for Enterprise Architect Dashbard Extensin fr Enterprise Architect Dashbard Extensin fr Enterprise Architect... 1 Disclaimer... 2 Dependencies... 2 Overview... 2 Limitatins f the free versin f the extensin... 3 Example Dashbard

More information

CS510 Concurrent Systems Class 2. A Lock-Free Multiprocessor OS Kernel

CS510 Concurrent Systems Class 2. A Lock-Free Multiprocessor OS Kernel CS510 Cncurrent Systems Class 2 A Lck-Free Multiprcessr OS Kernel The Synthesis kernel A research prject at Clumbia University Synthesis V.0 ( 68020 Uniprcessr (Mtrla N virtual memry 1991 - Synthesis V.1

More information

Oracle FLEXCUBE Universal Banking Development Workbench- Screen Development II

Oracle FLEXCUBE Universal Banking Development Workbench- Screen Development II Oracle FLEXCUBE Universal Banking 12.0.3 Develpment Wrkbench- Screen Develpment II August 2013 1 Cntents 1 Preface... 3 1.1 Audience... 3 1.2 Related Dcuments... 3 2 Intrductin... 4 3 Generated Files...

More information

Principles of Programming Languages

Principles of Programming Languages Principles f Prgramming Languages Slides by Dana Fisman based n bk by Mira Balaban and lecuture ntes by Michael Elhadad Dana Fisman Lessn 16 Type Inference System www.cs.bgu.ac.il/~ppl172 1 Type Inference

More information

CS5530 Mobile/Wireless Systems Swift

CS5530 Mobile/Wireless Systems Swift Mbile/Wireless Systems Swift Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs cat annunce.txt_ imacs remte VNC access VNP: http://www.uccs.edu/itservices/services/netwrk-andinternet/vpn.html

More information

Programming Project: Building a Web Server

Programming Project: Building a Web Server Prgramming Prject: Building a Web Server Submissin Instructin: Grup prject Submit yur cde thrugh Bb by Dec. 8, 2014 11:59 PM. Yu need t generate a simple index.html page displaying all yur grup members

More information

Laboratory #13: Trigger

Laboratory #13: Trigger Schl f Infrmatin and Cmputer Technlgy Sirindhrn Internatinal Institute f Technlgy Thammasat University ITS351 Database Prgramming Labratry Labratry #13: Trigger Objective: - T learn build in trigger in

More information

It has hardware. It has application software.

It has hardware. It has application software. Q.1 What is System? Explain with an example A system is an arrangement in which all its unit assemble wrk tgether accrding t a set f rules. It can als be defined as a way f wrking, rganizing r ding ne

More information

Lab 4. Name: Checked: Objectives:

Lab 4. Name: Checked: Objectives: Lab 4 Name: Checked: Objectives: Learn hw t test cde snippets interactively. Learn abut the Java API Practice using Randm, Math, and String methds and assrted ther methds frm the Java API Part A. Use jgrasp

More information

INFOCUS Enrollment by Count Date

INFOCUS Enrollment by Count Date 0 INFOCUS Enrllment by Cunt Date Crsstab 11/5/2012 Sftware Technlgy, Inc. Thmas Murphy 0 Enrllment by Cunt Date Crsstab OBJECTIVES: A crsstab reprt that cunts enrlled and withdrawn students based n a cunt

More information

CS1150 Principles of Computer Science Methods

CS1150 Principles of Computer Science Methods CS1150 Principles f Cmputer Science Methds Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Passing Parameters public static vid nprintln(string message,

More information

Test Pilot User Guide

Test Pilot User Guide Test Pilt User Guide Adapted frm http://www.clearlearning.cm Accessing Assessments and Surveys Test Pilt assessments and surveys are designed t be delivered t anyne using a standard web brwser and thus

More information

What s New in version 3.04 November 2012

What s New in version 3.04 November 2012 What s New in versin 3.04 Nvember 2012 PrAdmin versin 3.04 intrduces the ability t validate the Database Linkage, a new Late Retirement Calculatin cmpnent, service and salary anniversary year measurement

More information

CSE 3320 Operating Systems Synchronization Jia Rao

CSE 3320 Operating Systems Synchronization Jia Rao CSE 3320 Operating Systems Synchrnizatin Jia Ra Department f Cmputer Science and Engineering http://ranger.uta.edu/~jra Recap f the Last Class Multiprcessr scheduling Tw implementatins f the ready queue

More information

Beyond Verification. Software Synthesis

Beyond Verification. Software Synthesis Beynd Verificatin Sftware Synthesis 1 What d we mean by synthesis We want t get cde frm high-level specs - Pythn and VB are pretty high level, why is that nt synthesis? Supprt cmpsitinal and incremental

More information

Brief Intro to relational databases

Brief Intro to relational databases Brief Intr t relatinal databases A relatinal database cnsists f tables Tables cnsist f rws and clumns, which can als be referred t as recrds and fields A table is typically mdeled after a real-wrld entity,

More information

ISTE-608 Test Out Written Exam and Practical Exam Study Guide

ISTE-608 Test Out Written Exam and Practical Exam Study Guide PAGE 1 OF 9 ISTE-608 Test Out Written Exam and Practical Exam Study Guide Written Exam: The written exam will be in the frmat f multiple chice, true/false, matching, shrt answer, and applied questins (ex.

More information

6 Ways to Streamline Your Tasks in Outlook

6 Ways to Streamline Your Tasks in Outlook 6 Ways t Streamline Yur Tasks in Outlk Every jb requires a variety f tasks during a given day. Maybe yurs includes meeting with clients, preparing a presentatin, r cllabrating with team members n an imprtant

More information

Adverse Action Letters

Adverse Action Letters Adverse Actin Letters Setup and Usage Instructins The FRS Adverse Actin Letter mdule was designed t prvide yu with a very elabrate and sphisticated slutin t help autmate and handle all f yur Adverse Actin

More information

- Replacement of a single statement with a sequence of statements(promotes regularity)

- Replacement of a single statement with a sequence of statements(promotes regularity) ALGOL - Java and C built using ALGOL 60 - Simple and cncise and elegance - Universal - Clse as pssible t mathematical ntatin - Language can describe the algrithms - Mechanically translatable t machine

More information

Sequential VHDL. Katarzyna Radecka. DSD COEN 313

Sequential VHDL. Katarzyna Radecka. DSD COEN 313 Sequential VHDL Katarzyna Radecka DSD COEN 313 kasiar@ece.concordia.ca Overview Process Sensitivity List Wait Statements If Statements Case Statements Loop Statements Three Styles of VHDL Behavioral Structural

More information

CS1150 Principles of Computer Science Methods

CS1150 Principles of Computer Science Methods CS1150 Principles f Cmputer Science Methds Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Opening Prblem Find the sum f integers frm 1 t 10, frm 20

More information

B Tech Project First Stage Report on

B Tech Project First Stage Report on B Tech Prject First Stage Reprt n GPU Based Image Prcessing Submitted by Sumit Shekhar (05007028) Under the guidance f Prf Subhasis Chaudhari 1. Intrductin 1.1 Graphic Prcessr Units A graphic prcessr unit

More information

CS1150 Principles of Computer Science Introduction

CS1150 Principles of Computer Science Introduction Principles f Cmputer Science Intrductin Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Intr f Intr Yanyan Zhuang PhD in netwrk systems yzhuang@uccs.edu Office

More information

Development Workbench- Screen Development II Oracle FLEXCUBE Universal Banking Release

Development Workbench- Screen Development II Oracle FLEXCUBE Universal Banking Release Develpment Wrkbench- Screen Develpment II Oracle FLEXCUBE Universal Banking Release 12.2.0.0.0 1 Cntents 1 Preface... 3 1.1 Audience... 3 1.2 Related Dcuments... 3 2 Intrductin... 4 3 Generated Files...

More information

HP Prime Exam Modes. HP Prime Graphing Calculator

HP Prime Exam Modes. HP Prime Graphing Calculator HP Prime Graphing Calculatr HP Prime Exam Mdes Classrm cntrl during exams is critical t ensuring students are using nly permitted tls t cmplete evaluatins. HP Prime prvides that cntrl. Learn mre abut HP

More information

DesignScript summary:

DesignScript summary: DesignScript summary: This manual is designed fr thse readers wh have sme experience with prgramming and scripting languages and want t quickly understand hw DesignScript implements typical prgramming

More information

Operating systems. Module 7 IPC (Interprocess communication) PART I. Tami Sorgente 1

Operating systems. Module 7 IPC (Interprocess communication) PART I. Tami Sorgente 1 Operating systems Mdule 7 IPC (Interprcess cmmunicatin) PART I Tami Srgente 1 INTERPROCESS COMMUNICATION Prcesses within a system may be independent r cperating Cperating prcess can affect r be affected

More information

CSE 3320 Operating Systems Deadlock Jia Rao

CSE 3320 Operating Systems Deadlock Jia Rao CSE 3320 Operating Systems Deadlck Jia Ra Department f Cmputer Science and Engineering http://ranger.uta.edu/~jra Recap f the Last Class Race cnditins Mutual exclusin and critical regins Tw simple appraches

More information

Darshan Institute of Engineering & Technology for Diploma Studies Unit 2

Darshan Institute of Engineering & Technology for Diploma Studies Unit 2 Intrductin Oracle prgramming language SQL, prvides varius functinalities required t manage a database. SQL is s much pwerful in handling data and varius database bjects. But it lacks sme f basic functinalities

More information

1 Getting and Extracting the Upgrader

1 Getting and Extracting the Upgrader Hughes BGAN-X 9202 Upgrader User Guide (Mac) Rev 1.0 (23-Feb-12) This dcument explains hw t use the Hughes BGAN Upgrader prgram fr the 9202 User Terminal using a Mac Nte: Mac OS X Versin 10.4 r newer is

More information

CS510 Concurrent Systems Class 1a. Linux Kernel Locking Techniques

CS510 Concurrent Systems Class 1a. Linux Kernel Locking Techniques CS510 Cncurrent Systems Class 1a Linux Kernel Lcking Techniques Intr t kernel lcking techniques (Linux) Why d we need lcking in the kernel? Which prblems are we trying t slve? What implementatin chices

More information

Date Lesson TOPIC Homework. Parametric and Vector Equations of a Line in R 2 Pg. 433 # 2 6, 9, 11. Vector and Parametric Equation of a Plane in Space

Date Lesson TOPIC Homework. Parametric and Vector Equations of a Line in R 2 Pg. 433 # 2 6, 9, 11. Vector and Parametric Equation of a Plane in Space UNIT 3 - EQUATIONS OF LINES AND PLANES Date Lessn TOPIC Hmewrk Sept. 29 Oct.3 Oct.4 Oct.5 3.1 (19) 3.2 (20) 3.3 (21) 3.4 (22) OPT. 8.1 8.2 8.3 8.4 Parametric and Vectr Equatins f a Line in R 2 Pg. 433

More information

Computer Organization and Architecture

Computer Organization and Architecture Campus de Gualtar 4710-057 Braga UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA Departament de Infrmática Cmputer Organizatin and Architecture 5th Editin, 2000 by William Stallings Table f Cntents I. OVERVIEW.

More information

1 Getting and Extracting the Upgrader

1 Getting and Extracting the Upgrader Hughes BGAN-X 9211 Upgrader User Guide (Mac) Rev 1.2 (6-Jul-17) This dcument explains hw t use the Hughes BGAN Upgrader prgram fr the 9211 User Terminal using a Mac Nte: Mac OS X Versin 10.4 r newer is

More information

Implementing a Data Warehouse with Microsoft SQL Server

Implementing a Data Warehouse with Microsoft SQL Server Implementing a Data Warehuse with Micrsft SQL Server Implementing a Data Warehuse with Micrsft SQL Server Curse Cde: 20463 Certificatin Exam: 70-463 Duratin: 5 Days Certificatin Track: MCSA: SQL Server

More information

Relational Operators, and the If Statement. 9.1 Combined Assignments. Relational Operators (4.1) Last time we discovered combined assignments such as:

Relational Operators, and the If Statement. 9.1 Combined Assignments. Relational Operators (4.1) Last time we discovered combined assignments such as: Relatinal Operatrs, and the If Statement 9/18/06 CS150 Intrductin t Cmputer Science 1 1 9.1 Cmbined Assignments Last time we discvered cmbined assignments such as: a /= b + c; Which f the fllwing lng frms

More information

Recap on PLC Programming

Recap on PLC Programming Department f Electrical Engineering and Autmatin ELEC-E8102 Distributed Intelligent Autmatin Systems Recap n PLC Prgramming Pekka Aarni Preface This is a recap lecture n PLC prgramming. Students wh have

More information

TIP812-SW-42. VxWorks Device Driver. User Manual. The Embedded I/O Company SERCOS IP. Version 1.0. Issue 1.1 September TEWS TECHNOLOGIES GmbH

TIP812-SW-42. VxWorks Device Driver. User Manual. The Embedded I/O Company SERCOS IP. Version 1.0. Issue 1.1 September TEWS TECHNOLOGIES GmbH The Embedded I/O Cmpany TIP812-SW-42 VxWrks Device Driver SERCOS IP Versin 1.0 User Manual Issue 1.1 September 2003 TEWS TECHNOLOGIES GmbH Am Bahnhf 7 25469 Halstenbek / Germany Phne: +49-(0)4101-4058-0

More information

The following screens show some of the extra features provided by the Extended Order Entry screen:

The following screens show some of the extra features provided by the Extended Order Entry screen: SmartFinder Orders Extended Order Entry Extended Order Entry is an enhanced replacement fr the Sage Order Entry screen. It prvides yu with mre functinality while entering an rder, and fast access t rder,

More information

Single Sign-On (SSO) Release Notes Spring, 2010

Single Sign-On (SSO) Release Notes Spring, 2010 Single Sign-On (SSO) Release Ntes Spring, 2010 Cntents SSO Functinality Changes in Learning Platfrm 4.0 GUIDs SOAP FORM SSO Lgin Cnfiguratin Variable Cpyright 2010 by Desire2Learn Incrprated. Desire2Learn

More information

CS4500/5500 Operating Systems Synchronization

CS4500/5500 Operating Systems Synchronization Operating Systems Synchrnizatin Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Recap f the Last Class Multiprcessr scheduling Tw implementatins f the ready

More information

Master Calendar Navigation

Master Calendar Navigation Master Calendar Navigatin Scheduling> Clinic Master Calendar Use arrws t navigate: day --> week --> mnth. Mnth View Gld bar current date White n shw rate past calendar dates Grey blcked time Green appintment

More information

MIPS Architecture and Assembly Language Overview

MIPS Architecture and Assembly Language Overview MIPS Architecture and Assembly Language Overview Adapted frm: http://edge.mcs.dre.g.el.edu/gicl/peple/sevy/architecture/mipsref(spim).html [Register Descriptin] [I/O Descriptin] Data Types and Literals

More information

NVIDIA S KEPLER ARCHITECTURE. Tony Chen 2015

NVIDIA S KEPLER ARCHITECTURE. Tony Chen 2015 NVIDIA S KEPLER ARCHITECTURE Tny Chen 2015 Overview 1. Fermi 2. Kepler a. SMX Architecture b. Memry Hierarchy c. Features 3. Imprvements 4. Cnclusin 5. Brief verlk int Maxwell Fermi ~2010 40 nm TSMC (sme

More information

SPAR. Workflow for Office 365 User Manual Ver ITLAQ Technologies

SPAR. Workflow for Office 365 User Manual Ver ITLAQ Technologies SPAR Wrkflw Designer fr SharePint Wrkflw fr Office 365 User Manual Ver. 1.0.0.0 0 ITLAQ Technlgies www.itlaq.cm Table f Cntents 1 Wrkflw Designer Wrkspace... 3 1.1 Wrkflw Activities Tlbx... 3 1.2 Adding

More information

Overview of OPC Alarms and Events

Overview of OPC Alarms and Events Overview f OPC Alarms and Events Cpyright 2016 EXELE Infrmatin Systems, Inc. EXELE Infrmatin Systems (585) 385-9740 Web: http://www.exele.cm Supprt: supprt@exele.cm Sales: sales@exele.cm Table f Cntents

More information

Hosted IP Phone System Administrator Portal Reference Manual (Polycom)

Hosted IP Phone System Administrator Portal Reference Manual (Polycom) Hsted IP Phne System Administratr Prtal Reference Manual (Plycm) POPP.cm, Inc. All rights reserved. Service 24x7 @ 763-797-7900 r 1-800-234-POPP Page 1 f 63 6/29/17 Table f Cntents 1.0 Intrductin 2.0 Lgging

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2013 Lab 1 - Calculatr Intrductin Reading Cncepts In this lab yu will be

More information

escreen Setup and Usage Instructions

escreen Setup and Usage Instructions escreen Setup and Usage Instructins The FRS escreen mdule prvides the capability fr yur clients t request drug screening services fr their subjects directly in eclientlink. This includes multiple specimen

More information

D e s i g n S c r i p t L a n g u a g e S u m m a r y P a g e 1

D e s i g n S c r i p t L a n g u a g e S u m m a r y P a g e 1 D e s i g n S c r i p t L a n g u a g e S u m m a r y P a g e 1 This manual is designed fr tw types f readers. First, thse wh want t make an initial fray int text based prgramming and wh may be currently

More information

Populate and Extract Data from Your Database

Populate and Extract Data from Your Database Ppulate and Extract Data frm Yur Database 1. Overview In this lab, yu will: 1. Check/revise yur data mdel and/r marketing material (hme page cntent) frm last week's lab. Yu will wrk with tw classmates

More information

CS1150 Principles of Computer Science Final Review

CS1150 Principles of Computer Science Final Review CS1150 Principles f Cmputer Science Final Review Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Numerical Data Types Name Range Strage Size byte 2 7

More information

Computer Information Systems Department. Computer Information Systems: Programming. o Work Experience, General. o Open Entry/Exit

Computer Information Systems Department. Computer Information Systems: Programming. o Work Experience, General. o Open Entry/Exit SECTION A - Curse Infrmatin 1. Curse ID: 2. Curse Title: 3. Divisin: 4. Department: 5. Subject: 6. Shrt Curse Title: 7. Effective Term:: CISP 21 Prgramming in Java Business Divisin Cmputer Infrmatin Systems

More information

Ascii Art Capstone project in C

Ascii Art Capstone project in C Ascii Art Capstne prject in C CSSE 120 Intrductin t Sftware Develpment (Rbtics) Spring 2010-2011 Hw t begin the Ascii Art prject Page 1 Prceed as fllws, in the rder listed. 1. If yu have nt dne s already,

More information

MATH PRACTICE EXAM 2 (Sections 2.6, , )

MATH PRACTICE EXAM 2 (Sections 2.6, , ) MATH 1050-90 PRACTICE EXAM 2 (Sectins 2.6, 3.1-3.5, 7.1-7.6) The purpse f the practice exam is t give yu an idea f the fllwing: length f exam difficulty level f prblems Yur actual exam will have different

More information

Preparation: Follow the instructions on the course website to install Java JDK and jgrasp on your laptop.

Preparation: Follow the instructions on the course website to install Java JDK and jgrasp on your laptop. Lab 1 Name: Checked: (instructr r TA initials) Objectives: Learn abut jgrasp - the prgramming envirnment that we will be using (IDE) Cmpile and run a Java prgram Understand the relatinship between a Java

More information

CSE 361S Intro to Systems Software Lab #2

CSE 361S Intro to Systems Software Lab #2 Due: Thursday, September 22, 2011 CSE 361S Intr t Systems Sftware Lab #2 Intrductin This lab will intrduce yu t the GNU tls in the Linux prgramming envirnment we will be using fr CSE 361S this semester,

More information

B ERKELEY. Homework 7: Homework 7 JavaScript and jquery: An Introduction. Part 1:

B ERKELEY. Homework 7: Homework 7 JavaScript and jquery: An Introduction. Part 1: Hmewrk 7 JavaScript and jquery: An Intrductin Hmewrk 7: Part 1: This hmewrk assignment is cmprised f three files. Yu als need the jquery library. Create links in the head sectin f the html file (HW7.css,

More information

RTXC Quadros Real-time Operating System Technical Summary Quadros Systems, Inc.

RTXC Quadros Real-time Operating System Technical Summary Quadros Systems, Inc. RTXC Quadrs Real-time Operating System Technical Summary Quadrs Systems, Inc. Real-time Operating Systems fr Cnvergent Prcessing www.quadrs.cm RTXC Quadrs Technical Summary Table f Cntents 1 Intrductin...

More information

ARM Programmer s Model

ARM Programmer s Model ARM Prgrammer s Mdel Hsung-Pin Chang Department f Cmputer Science Natinal Chung Hsing University PDF created with FinePrint pdffactry Pr trial versin www.pdffactry.cm Outline ARM Data Types ARM Prcessr

More information

CISC-103: Web Applications using Computer Science

CISC-103: Web Applications using Computer Science CISC-103: Web Applicatins using Cmputer Science Instructr: Debra Yarringtn Email: yarringt@eecis.udel.edu Web Site: http://www.eecis.udel.edu/~yarringt TA: Patrick McClry Email: patmcclry@gmail.cm Office:

More information

STEREO VISION WITH COGNIMEM

STEREO VISION WITH COGNIMEM Applicatin Nte STEREO VISION WITH COGNIMEM THE APPLICATION Stere Visin is critical fr the evaluatin f the prximity f an bject and is the starting pint fr many machine visin applicatins. Several cmmercial

More information

Model 86A00-2 Home Theater Extender 2 (HTX2)

Model 86A00-2 Home Theater Extender 2 (HTX2) Mdel 86A00-2 Hme Theater Extender 2 (HTX2) DESCRIPTION The Mdel 86A00-2 Hme Theater Extender 2 (HTX2) allws yu t extend yur hme cntrl t the audi/vide equipment in yur hme theater. The HTX2 cmmunicates

More information

10 hours create the college model. Data Definition Commands. Data Manipulation commands, Data Control commands

10 hours create the college model. Data Definition Commands. Data Manipulation commands, Data Control commands Mdule-04 STRUCTURED QUERY LANGUAGE (SQL) 4.1 Mtivatin The knwledge SQL is essential fr sftware develper hence SQL is an imprtant subject in cmputer prgramming arund the wrld. 4.2 Objective DBMS is the

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2012 Lab 1 - Calculatr Intrductin In this lab yu will be writing yur first

More information

European Component Oriented Architecture (ECOA ) Collaboration Programme: Architecture Specification Part 3: Mechanisms

European Component Oriented Architecture (ECOA ) Collaboration Programme: Architecture Specification Part 3: Mechanisms Eurpean Cmpnent Oriented Architecture (ECOA ) Cllabratin Prgramme: Architecture Specificatin Part 3: Mechanisms BAE Ref N: IAWG-ECOA-TR-007 Dassault Ref N: DGT 144482-F Issue: 6 Prepared by BAE Systems

More information

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit VHDL VHDL Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit VHDL Alternative (Student Generated) Definition Very Hard Digital Logic language VHDL Design

More information

Primitive Types and Methods. Reference Types and Methods. Review: Methods and Reference Types

Primitive Types and Methods. Reference Types and Methods. Review: Methods and Reference Types Primitive Types and Methds Java uses what is called pass-by-value semantics fr methd calls When we call a methd with input parameters, the value f that parameter is cpied and passed alng, nt the riginal

More information

Once the Address Verification process is activated, the process can be accessed by employees in one of two ways:

Once the Address Verification process is activated, the process can be accessed by employees in one of two ways: Type: System Enhancements ID Number: SE 94 Date: June 29, 2012 Subject: New Address Verificatin Prcess Suggested Audience: Human Resurce Offices Details: Sectin I: General Infrmatin fr Address Verificatin

More information

Chapter-10 INHERITANCE

Chapter-10 INHERITANCE Chapter-10 INHERITANCE Intrductin: Inheritance is anther imprtant aspect f bject riented prgramming. C++ allws the user t create a new class (derived class) frm an existing class (base class). Inheritance:

More information

Multi-valued Logic. Standard Logic IEEE 1164 Type std_ulogic is ( U, uninitialized

Multi-valued Logic. Standard Logic IEEE 1164 Type std_ulogic is ( U, uninitialized Multi-valued Logic Standard Logic IEEE 1164 Type std_ulogic is ( U, uninitialized X, unknown 0, logic 0 1, logic 1 Z, high impedance W, unknown L, logic 0 weak H, logic 1 weak - ); don t care Standard

More information

Laboratory Exercise 3 Using the PIC18

Laboratory Exercise 3 Using the PIC18 Labratry Exercise 3 Using the PIC18 Until this pint, the user has prgrammed the FPGA Interface Bard using the FTDI and has nt been intrduced t the n bard PIC18F2550 micrcntrller. The purpse f this experiment

More information

Update: Users are updated when their information changes (examples: Job Title or Department). o

Update: Users are updated when their information changes (examples: Job Title or Department). o Learn Basic User Integratin Batch File Prcessing The Learn Basic User Integratin is designed t manage the rganizatinal changes cmpanies are challenged with n a daily basis. Withut a basic type f integratin,

More information

HP Enterprise Printing Communication: Meltdown & Spectre CPU Vulnerabilities

HP Enterprise Printing Communication: Meltdown & Spectre CPU Vulnerabilities HP Inc. 1501 Page Mill Rad Pal Alt, CA 94304 HP.cm Security Advisry HP Enterprise Printing Cmmunicatin: Meltdwn & Spectre CPU Vulnerabilities Jan 17 th, 2018 On January 3 rd, 2018 Ggle s Prject Zer and

More information

Maximo Reporting: Maximo-Cognos Metadata

Maximo Reporting: Maximo-Cognos Metadata Maxim Reprting: Maxim-Cgns Metadata Overview...2 Maxim Metadata...2 Reprt Object Structures...2 Maxim Metadata Mdel...4 Metadata Publishing Prcess...5 General Architecture...5 Metadata Publishing Prcess

More information