Figure 1: Clocked I/O Transceiver

Size: px
Start display at page:

Download "Figure 1: Clocked I/O Transceiver"

Transcription

1

2 Figure 1: Clocked I/O Transceiver oe_dly2 oe_dly1 even_oe OE odd_oe dio1_oe (tristate_e) odd_oe D SET Q 126 dio1_out 126 CLR Q DIO1 dio1 Testbench Driver dio1_in D SET CLR Q Q dio2_in dio2_oe even_oe (tristate_e) dio2_out DIO2 dio2 Testbench Driver CLOCK OE odd odd_oe dio1_oe even_oe dio2_oe even turnaround time

3 Table 1: 768 FAST Channels mapped to 1148 pin DUT

4 DUT Card DAB Out RING ID # Con_Pin # DUT Sig Name Pin # Sig Name Pin # Sig # FPGA Pin # FPGA Sig Name 1 J1_1 DAB_P0_1 1 DAB_P0 4 0 AA28 IO_L1P_11 2 J1_3 DAB_N0_1 3 DAB_N0 4 0 AA29 IO_L1N_11 3 J1_2 DAB_P1_1 2 DAB_P1 3 1 W24 IO_L2P_11 4 J1_4 DAB_N1_1 4 DAB_N1 3 1 Y24 IO_L2N_11 5 J1_5 DAB_P2_1 5 DAB_P2 8 2 AB30 IO_L3P_11 6 J1_7 DAB_N2_1 7 DAB_N2 8 2 AA30 IO_L3N_11 7 J1_6 DAB_P3_1 6 DAB_P3 7 3 AE33 IO_L5P_11 8 J1_8 DAB_N3_1 8 DAB_N3 7 3 AE34 IO_L5N_11 9 J1_9 DAB_P4_1 9 DAB_P AC29 IO_L7P_11 10 J1_11 DAB_N4_1 11 DAB_N AC30 IO_L7N_11 11 J1_10 DAB_P5_1 10 DAB_P AD34 IO_L8P_CC_LC_11 12 J1_12 DAB_N5_1 12 DAB_N AC34 IO_L8N_CC_LC_11 13 J1_13 DAB_P6_1 13 DAB_P AE32 IO_L10P_11 14 J1_15 DAB_N6_1 15 DAB_N AD32 IO_L10N_11 15 J1_14 DAB_P7_1 14 DAB_P AC28 IO_L11P_11 16 J1_16 DAB_N7_1 16 DAB_N AB28 IO_L11N_11 17 J1_17 DAB_P8_1 17 DAB_P AF33 IO_L14P_11 18 J1_19 DAB_N8_1 19 DAB_N AF34 IO_L14N_11 19 J1_18 DAB_P9_1 18 DAB_P AE29 IO_L15P_11 20 J1_20 DAB_N9_1 20 DAB_N AD29 IO_L14N_11 21 J1_21 DAB_P10_1 21 DAB_P AA23 IO_L17P_11 22 J1_23 DAB_N10_1 23 DAB_N AA24 IO_L17N_11 23 J1_22 DAB_P11_1 22 DAB_P AD27 IO_L19P_11 24 J1_24 DAB_N11_1 24 DAB_N AC27 IO_L19N_11 25 J1_25 DAB_P12_1 25 DAB_P AG30 IO_L21P_11 26 J1_27 DAB_N12_1 27 DAB_N AG31 IO_L21N_11 27 J1_26 DAB_P13_1 26 DAB_P AF29 IO_L24P_CC_LC_11 28 J1_28 DAB_N13_1 28 DAB_N AF30 IO_L24N_CC_LC_11 29 J1_29 DAB_P14_1 29 DAB_P AK33 IO_L26P_11 30 J1_31 DAB_N14_1 31 DAB_N AK34 IO_L26N_11 31 J1_30 DAB_P15_1 30 DAB_P AM32 IO_L27P_11 32 J1_32 DAB_N15_1 32 DAB_N AM33 IO_L27N_11 33 J1_33 DAB_P16_1 33 DAB_P AB22 IO_L29P_11 34 J1_35 DAB_N16_1 35 DAB_N AB23 IO_L29N_11 35 J1_34 DAB_P17_1 34 DAB_P AL33 IO_L30P_11 36 J1_36 DAB_N17_1 36 DAB_N AL34 IO_L30N_11 37 J1_37 DAB_P18_1 37 DAB_P AJ30 IO_L32P_11 38 J1_39 DAB_N18_1 39 DAB_N AH30 IO_L32N_11 39 J1_38 DAB_P19_1 38 DAB_P AK29 IO_L1N_7 40 J1_40 DAB_N19_1 40 DAB_N AJ29 IO_L1P_7 41 J1_41 DAB_P20_1 41 DAB_P AF28 IO_L2N_7 42 J1_43 DAB_N20_1 43 DAB_N AE27 IO_L2P_7 43 J1_42 DAB_P21_1 42 DAB_P AF26 IO_L3N_7 44 J1_44 DAB_N21_1 44 DAB_N AE26 IO_L3P_7 45 J1_45 DAB_P22_1 45 DAB_P AG18 IO_L5P_GC_LC_4 46 J1_47 DAB_N22_1 47 DAB_N AG17 IO_L5N_GC_LC_4 47 J1_46 DAB_P23_1 46 DAB_P AP30 IO_L7N_7 48 J1_48 DAB_N23_1 48 DAB_N AN30 IO_L7P_7 49 J1_49 DAB_P24_1 49 DAB_P AG27 IO_L8N_CC_LC_7 50 J1_51 DAB_N24_1 51 DAB_N AG28 IO_L8P_CC_LC_7 51 J1_50 DAB_P25_1 50 DAB_P AM30 IO_L10N_7 52 J1_52 DAB_N25_1 52 DAB_N AL30 IO_L10P_7 53 J1_53 DAB_P26_1 53 DAB_P AP27 IO_L11N_7 54 J1_55 DAB_N26_1 55 DAB_N AN27 IO_L11P_7 55 J1_54 DAB_P27_1 54 DAB_P AL28 IO_L14N_7 56 J1_56 DAB_N27_1 56 DAB_N AL29 IO_L14P_7 57 J1_57 DAB_P28_1 57 DAB_P AP25 IO_L15N_7 58 J1_59 DAB_N28_1 59 DAB_N AP26 IO_L15P_7 59 J1_58 DAB_P29_1 58 DAB_P AP21 IO_L17N_7 60 J1_60 DAB_N29_1 60 DAB_N AP22 IO_L17P_7 61 J1_61 DAB_P30_1 61 DAB_P AK24 IO_L19N_7 62 J1_63 DAB_N30_1 63 DAB_N AJ24 IO_L19P_7 63 J1_62 DAB_P31_1 62 DAB_P AH19 IO_L3P_GC_LC_4 64 J1_64 DAB_N31_1 64 DAB_N AH18 IO_L3N_GC_LC_4 65 J1_65 DAB_P32_1 65 DAB_P AN28 IO_L24P_CC_LC_7 66 J1_67 DAB_N32_1 67 DAB_N AM28 IO_L24N_CC_LC_7 67 J1_66 DAB_P33_1 66 DAB_P AL26 IO_L26P_SM6_7 68 J1_68 DAB_N33_1 68 DAB_N AK26 IO_L26N_SM6_7 69 J1_69 DAB_P34_1 69 DAB_P AN22 IO_L27P_SM5_7 70 J1_71 DAB_N34_1 71 DAB_N AN23 IO_L27N_SM5_7 71 J1_70 DAB_P35_1 70 DAB_P AP24 IO_L29P_SM4_7 LMO PEG

5 Figure 2: Virtex 50 Pin Definition File

6 /* */ /* This is a pin definition file for the XCV TQ Transceiver device*/ /* */ /* */ /* Pin definitions and pin grouping. */ /* */ PIN b[46:0] BID 44,45,53,22,59,63,104,24,49,58,52,21,14, 60,133,4,32,29,48,23,20,13,76, 116,65,39,70,51,60,62,12,106,31,71,79,102,50, 61,47,69,11,56,80,67,105,5,81; PIN a[46:0] BID 137,139,121,138,101,119,113,7,88,89,131,97,141,124, 132,123,33,6,135,96,142,103,77,117, 66,27,85,130,98,122, 140,114,30,82,78,8,86,87,134,95,3,125,115,118,107, 127,28; PIN dir IN 139; PIN g_ IN 108; PIN CCLK IN 119; PIN M0 IN 40; PIN M2 IN 42; PIN M1 IN 38; PIN Done IN 80; PIN Prog IN 82; PIN TDO OUT 121; PINGROUP AllPins a[46:0],b[46:0];, dir,g_,cclk,m0,m1,m2,done,prog,tdo; PINGROUP APins PINGROUP BPins PINGROUP InPins PINGROUP VCCPins PINGROUP BndPins a[46:0]; b[46:0]; dir, g_; Prog,Done; a[46],a[45],a[44],a[43],a[42],a[41],a[40],a[39],a[38], a[37],a[36],a[35],a[34],a[33],a[32],a[31],a[30],a[29], a[28],a[27],a[26],a[25],a[24],a[23],a[22],a[21],a[20], a[19],a[17],a[16],a[15],a[14],a[13],a[12],a[11],a[10], a[9],a[8],a[7],a[6],a[5],a[4],a[2],a[1],a[0],b[47],b[46], b[45],b[44],b[43],b[42],b[41],b[40],b[39],b[38],b[37], b[36],b[33],b[32],b[31],b[30],b[29],b[28],b[27],b[26], b[25],b[24],b[23],b[22],b[21],b[20],b[19],b[18],b[17], b[16],b[15],b[14],b[13],b[12],b[11],b[10],b[9],b[8],b[7], b[6],b[5],b[4],b[3],b[2],b[1],b[0]; b[34] a[18] a[3] b[35] TDI TCK TMS Init Figure 3: FPGA Verilog Testbench Code

7 File: tb_generic.v Desc: Testbench for Xilinx LX60-ff1148 FPGA Date: Copyright (c) AtSpex Test ( ). All rights reserved `timescale 1ns/1ns module tb_generic (); Module Parameters For v4lx60ff1148_clocked_io.v DUT parameter FILENAME = "clocked_18x32.vec"; parameter COMB_MODE = 0; Set if outputs are combinatorial (not clocked) parameter NB = 18; number of busses parameter BW = 32; bus width, in bits parameter FBW = 32; first pair bus width, in bits For xc4vlx60ff1148_2x315.v DUT parameter FILENAME = "comb_2x47.vec"; parameter COMB_MODE = 1; Set if outputs are combinatorial (not clocked) parameter NB = 2; number of busses parameter BW = 315; bus width, in bits parameter FBW = 315; first pair bus width, in bits parameter NB = 2; parameter BW = 47; parameter FBW =47; number of busses bus width, in bits first pair bus width, in bits For xc4vlx60ff1148_20x32.v DUT parameter FILENAME = "comb_20x32.vec"; parameter COMB_MODE = 1; Set if outputs are combinatorial (not clocked) parameter NB = 20; number of busses parameter BW = 32; bus width, in bits parameter FBW = 27; first pair bus width, in bits Module Variables reg CLK, OE, DIR, RESET; wire [FBW-1:0] DIO1, DIO2; wire [BW-1:0] DIO3, DIO4, DIO5, DIO6, DIO7, DIO8, DIO9, DIO10, DIO11; Figure 4: Combinatorial Transceiver Verilog Module

8 File: xc4vlx60ff1148_2x55.v Desc: Parametized 245 Transceiver Author: DMO Date: Copyright (c) AtSpexLMO Test (2006). All rights reserved module xc4vlx60ff1148_2x315 ( DIR, OE, DIO1, DIO2 ); parameter DW = 47; If Data width=8, then default same as a TTL245 input DIR; direction, 1: DIO2=DIO1, 0: DIO1=DIO2 input OE; output enable, active low. 1: tristate I/O's inout [DW-1:0] DIO1, DIO2; Module Variables wire dir_in; wire oe_in; wire [DW-1:0] dio1_in, dio2_in; wire odd_oe, even_oe; Module Logic I/O buffers assign dir_in = DIR; assign oe_in = OE; assign dio1_in = DIO1; assign dio2_in = DIO2; assign DIO1 = odd_oe? dio2_in : {DW{1'bZ}}; assign DIO2 = even_oe? dio1_in : {DW{1'bZ}}; Output enables assign odd_oe =!dir_in &&!oe_in; assign even_oe = dir_in &&!oe_in; endmodule Figure 5: Automatic FPGA Test Vector Generation (Walking `1 s and 0 s)

9 D D D I I vec O I O O num E R : 0 1 LLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLH : 0 1 LHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHL : 0 1 LLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLH : 0 1 XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX 4: 0 1 XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX 5: LHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHL 6: LLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLH 7: LHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHLHL 8: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 9: HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH 10: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 11: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLH 12: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHL 13: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLL 14: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLL 15: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLL 16: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLL 17: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLL 18: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLL 19: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLL 20: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLL 21: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLL 22: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLL 23: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLL 24: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLL 25: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLL 26: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLL 27: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLL 28: LLLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLL 29: LLLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLL 30: LLLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLL 31: LLLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLL 32: LLLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLL 33: LLLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLL 34: LLLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLL 35: LLLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLL 36: LLLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLL 37: LLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLL 38: LLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLL 39: LLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLL 40: LLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 41: LLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 42: LLLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 43: LLLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 44: LLLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 45: LLLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 46: LLLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 47: LLLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 48: LLLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 49: LLLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 50: LLLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 51: LLLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 52: LLLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 53: LLLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 54: LLLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 55: LLHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 56: LHLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL 57: HLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL

10 Figure 6: Xilinx Pad File Release i - par I.26 Copyright (c) Xilinx, Inc. All rights reserved. Thu May 11 12:13: INFO: The IO information is provided in three file formats as part of the Place and Route (PAR 1. The <design name>_pad.txt file (this file) designed to provide information on IO usage in a 2. The <design namd>_pad.csv file for use with spreadsheet programs such as MS Excel. This fil 3. The <design name>.pad file designed for parsing by customers. It uses the " " as a data fi INPUT FILE: xc4vlx60ff1148_2x315_map.ncd OUTPUT FILE: xc4vlx60ff1148_2x315_pad.txt PART TYPE: xcv50 SPEED GRADE: -6 PACKAGE: tq144 Pinout by Pin Number: Pin Number Signal Name Pin Usage Pin Name Direction IO Standard IO Bank Number Drive (ma) P1 VCCO 0 P2 TCK P3 DIO1<6> IOB IO BIDIR LVTTL 0 12 P4 DIO2<31> IOB IO BIDIR LVTTL 0 12 P5 DIO2<1> IOB IO_VREF_0 BIDIR LVTTL 0 12 P6 DIO1<29> IOB IO BIDIR LVTTL 0 12 P7 DIO1<39> IOB IO BIDIR LVTTL 0 12 P8 DIO1<11> IOB IO BIDIR LVTTL 0 12 P9 GND P10 VCCINT P11 DIO2<6> IOB IO BIDIR LVTTL 0 12 P12 DIO2<16> IOB IO BIDIR LVTTL 0 12 P13 DIO2<25> IOB IO_VREF_0 BIDIR LVTTL 0 12 P14 DIO2<34> IOB IO BIDIR LVTTL 0 12 P15 VCCINT P16 GCLKIOB GCK3 UNUSED 0 P17 VCCO 0 P18 GND P19 GCLKIOB GCK2 UNUSED 1 P20 DIO2<26> IOB IO BIDIR LVTTL 1 12 P21 DIO2<35> IOB IO BIDIR LVTTL 1 12 P22 DIO2<43> IOB IO_VREF_1 BIDIR LVTTL 1 12 P23 DIO2<27> IOB IO BIDIR LVTTL 1 12 P24 DIO2<39> IOB IO BIDIR LVTTL 1 12 P25 VCCINT P26 GND P27 DIO1<21> IOB IO BIDIR LVTTL 1 12 P28 DIO1<0> IOB IO BIDIR LVTTL 1 12 P29 DIO2<29> IOB IO BIDIR LVTTL 1 12 P30 DIO1<14> IOB IO_VREF_1 BIDIR LVTTL 1 12 P31 DIO2<14> IOB IO BIDIR LVTTL 1 12 P32 DIO2<30> IOB IO_WRITE BIDIR LVTTL 1 12

11 Figure 7: Test Source Template XC50 Virtex Xilinx chip #include "Cvir50.p2c" test #include "Cvir50.def" /* */ /* Default values will be applied to each test unless */ /* overridden by value assignment in the test. */ /* */ DPS_I_PClamp[1] = 30%; DPS_I_NClamp[1] = 5%; PMU_V_PCLAMP = 72%; PMU_V_NCLAMP = 66%; around 5 volts around -2volts AC_Vil = 0.0 V; AC_Vih = 3.0 V; AC_Vth = 1.5 V; AC_VLoad = 4.0 V; AC_Clk_Lead = ns; AC_Clk_Trail = ns; AC_Strobe = ns; /* */ /* Boundary Scan information */ /* */ loadbsdl("cvir50.bsm"); SCAN_CLK_FREQ = 5 MHZ; SCAN_VIL = 0.00 V; SCAN_VIH = 3.00 V; SCAN_VTH = 1.50 V; BSDL_TEST = no; /* */ /* Global declaration of DPS turn-on sequence. */ /* */ DPS_Turn_On_Sequence (DPS1,DPS2); /* */ /* Load VPU and SVF pattern files. */ /* */ LoadBit ("Cvir50.Rbt", PgmPat); LoadVPU ("Cvir50.cbv", VecPat); /* * * DC PARAMETRIC TEST CONDITIONS AND LIMITS * * */ /* CONTINUITY Test */ Continuity_Test: Continuity () { PMU_Force = -100 ua; PMU_Limit_Min = -2.0 V;

12

Virtex-4 LX/SX Prototype Platform

Virtex-4 LX/SX Prototype Platform Virtex-4 LX/SX Prototype Platform User Guide R P/N 0402226-06 R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate

More information

ADM-XRC-5LX. PCI Mezzanine Card. User Guide. Version 2.0

ADM-XRC-5LX. PCI Mezzanine Card. User Guide. Version 2.0 ADM-XRC-5LX PCI Mezzanine Card User Guide Copyright 2006, 2007, 2008 Alpha Data Parallel Systems Ltd. All rights reserved. This publication is protected by Copyright Law, with all rights reserved. No part

More information

Spartan-II Demo Board User s Guide

Spartan-II Demo Board User s Guide Spartan-II Demo Board User s Guide Version.2 May 200 Overview The Spartan-II Demo Board is a low cost evaluation platform for testing and verifying designs based on the Xilinx Spartan-II family of FPGA

More information

Digilab 2 XL Reference Manual

Digilab 2 XL Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 XL Reference Manual Revision: May 7, 2002 Overview The Digilab 2 XL (D2XL) development board

More information

XC95144XL High Performance CPLD

XC95144XL High Performance CPLD XC95144XL High Performance CPLD November 13, 1998 (Version 1.2) Features 5 ns pin-to-pin logic delays System frequency up to 178 MHz 144 macrocells with 3,200 usable gates Available in small footprint

More information

QPro Virtex 2.5V Radiation-Hardened FPGAs Features Description

QPro Virtex 2.5V Radiation-Hardened FPGAs Features Description 17 QPro Virtex 2.5V Radiation-Hardened FPGAs Features 0.22 µm 5-layer epitaxial process QML certified Radiation-hardened FPGAs for space and satellite applications Guaranteed total ionizing dose to 100K

More information

Digilab 2 Reference Manual

Digilab 2 Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 Reference Manual Revision: November 19, 2001 Overview The Digilab 2 (D2) development board

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD 0 XC95288 In-System Programmable CPLD DS069 (v4.1) August 21, 2003 0 5 Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400 usable gates Up

More information

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port Digilent Digilab 2 Reference Manual www.digilentinc.com Revision: 5/7/02 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilab 2 development board (the D2) features the

More information

Version 1.6 Page 2 of 25 SMT351 User Manual

Version 1.6 Page 2 of 25 SMT351 User Manual SMT351 User Manual Version 1.6 Page 2 of 25 SMT351 User Manual Revision History Date Comments Engineer Version 28/07/04 First revision JPA 1.1 16/09/04 Added pin number for JP1 pinout section. Updated

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD 0 XC95288 In-System Programmable CPLD November 12, 1997 (Version 2.0) 0 3* Preliminary Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400

More information

Typical Gate Range (Logic and RAM)*

Typical Gate Range (Logic and RAM)* 0 XC4000XLA/XV Field Programmable Gate Arrays DS015 (v1.3) October 18, 1999 0 0* Product Specification XC4000XLA/XV Family Features Note: XC4000XLA devices are improved versions of XC4000XL devices. The

More information

Digilab 2E Reference Manual

Digilab 2E Reference Manual Digilent 2E System Board Reference Manual www.digilentinc.com Revision: February 8, 2005 246 East Main Pullman, WA 99163 (509) 334 6306 Voice and Fax Digilab 2E Reference Manual Overview The Digilab 2E

More information

APEX DSP Development Board

APEX DSP Development Board APEX DSP Development Board (Starter Version) April 2002, ver. 1.3 Data Sheet Features Powerful development board for digital signal processing (DSP) designs featuring the APEX EP20K200E-1X device in a

More information

FPGA Board Reference Guide. Author: Rico Möckel Supervisor: Prof. Auke Jan Ijspeert

FPGA Board Reference Guide. Author: Rico Möckel Supervisor: Prof. Auke Jan Ijspeert FPGA Board Reference Guide Author: Supervisor: Prof. Auke Jan Ijspeert FPGA Board Reference Guide Date Version Changes October 18, 2004 1.0 - Page 2 Table of Contents Purpose... 4 Audience... 4 Organization...

More information

ML52x User Guide. Virtex-5 FPGA RocketIO Characterization Platform. UG225 (v2.1) August 4,

ML52x User Guide. Virtex-5 FPGA RocketIO Characterization Platform. UG225 (v2.1) August 4, ML52x User Guide Virtex-5 FPGA RocketIO Characterization Platform R 0402527-03 R Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for

More information

ADM-XRC-5TZ. PCI Mezzanine Card. User Guide. Version 2.0

ADM-XRC-5TZ. PCI Mezzanine Card. User Guide. Version 2.0 ADM-XRC-5TZ PCI Mezzanine Card User Guide Copyright 2007-2009 Alpha Data Parallel Systems Ltd. All rights reserved. This publication is protected by Copyright Law, with all rights reserved. No part of

More information

SMT407 User Manual User Manual; Version 1.0.2, 4/8/04; Sundance Digital Signal Processing, Inc. 2004

SMT407 User Manual User Manual; Version 1.0.2, 4/8/04; Sundance Digital Signal Processing, Inc. 2004 SMT407 User Manual User Manual; Version 1.0.2, 4/8/04; Sundance Digital Signal Processing, Inc. 2004 Version 1.0.0 Page 2 of 38 SMT407 User Manual Revision History Date Comments Engineer Version 2/28/05

More information

XC95144 In-System Programmable CPLD

XC95144 In-System Programmable CPLD R 0 XC95144 In-System Programmable CPLD 0 5 Product Specification Features 7.5 ns pin-to-pin logic delays on all pins f CNT to 111 MHz 144 macrocells with 3,200 usable gates Up to 133 user pins 5V in-system

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

ML623 Virtex-6 FPGA GTX Transceiver Characterization Board User Guide. UG724 (v1.1) September 15, 2010

ML623 Virtex-6 FPGA GTX Transceiver Characterization Board User Guide. UG724 (v1.1) September 15, 2010 ML623 Virtex-6 FPGA GTX Transceiver Characterization Board User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density pasic 3 HIGHLIGHTS 60,000 usable PLD gates, 316 I/O pins QL3060 60,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density April, 1999 High Performance and High Density -60,000 Usable

More information

5I21 SERIAL ANYTHING I/O MANUAL

5I21 SERIAL ANYTHING I/O MANUAL 5I21 SERIAL ANYTHING I/O MANUAL 1.2 This page intentionally not blank - LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit FPGA Interface for Signal Handling (FISH) Mohsen Moussavi Catena Networks April 2000 Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface

More information

ML628 Virtex-6 FPGA GTX and GTH Transceiver Characterization Board User Guide. UG771 (v1.0.1) July 6, 2011

ML628 Virtex-6 FPGA GTX and GTH Transceiver Characterization Board User Guide. UG771 (v1.0.1) July 6, 2011 ML628 Virtex-6 FPGA GTX and GTH Transceiver Characterization Board User Guide UG771 (v1.0.1) July 6, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation

More information

XC95144 In-System Programmable CPLD

XC95144 In-System Programmable CPLD 0 XC95144 In-System Programmable CPLD DS067 (v5.6) April 3, 2006 0 5 Features 7.5 ns pin-to-pin logic delays on all pins f CNT to 111 MHz 144 macrocells with 3,200 usable gates Up to 133 user pins 5V in-system

More information

Opal Kelly. XEM3050 User s Manual

Opal Kelly. XEM3050 User s Manual Opal Kelly XEM3050 User s Manual A compact (75mm x 50mm) integration board featuring the Xilinx Spartan-3 FPGA and on-board SDRAM, SSRAM, and SPI Flash. The XEM3050 is a compact USB-based FPGA integration

More information

Virtex-II Prototype Platform

Virtex-II Prototype Platform Virtex-II Prototype Platform User Guide UG015 / PN0401974 (v1.1) January 14, 2003 Virtex-II Prototype Platform www.xilinx.com UG015 / PN0401974 (v1.1) January 14, 2003 1-800-255-7778 "Xilinx" and the Xilinx

More information

4I39 RS-422 ANYTHING I/O MANUAL

4I39 RS-422 ANYTHING I/O MANUAL 4I39 RS-422 ANYTHING I/O MANUAL V1.0 Table of Contents GENERAL.......................................................... 1 DESCRIPTION................................................. 1 HARDWARE CONFIGURATION........................................

More information

Introduction to WebPACK 5.2 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board

Introduction to WebPACK 5.2 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board Introduction to WebPACK 5.2 for FPGAs Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board Release date: 10/27/2003 All XS-prefix product designations are trademarks of XESS Corp.

More information

XCR3064XL 64 Macrocell CPLD

XCR3064XL 64 Macrocell CPLD 0 XC3064XL 64 Macrocell CPLD DS017 (v2.4) September 15, 2008 0 14 Features Low power 3.3V 64 macrocell CPLD 5.5 ns pin-to-pin logic delays System frequencies up to 192 MHz 64 macrocells with 1,500 usable

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs 0 Platform Flash In-System Programmable Configuration s DS123 (v2.1) November 18, 2003 0 0 Preliminary Product Specification Features In-System Programmable s for Configuration of Xilinx FPGAs Low-Power

More information

MultiBoot with Virtex-5 FPGAs and Platform Flash XL Authors: Jameel Hussein and Rish Patel

MultiBoot with Virtex-5 FPGAs and Platform Flash XL Authors: Jameel Hussein and Rish Patel Application Note: Virtex-5 Family XAPP00 (v.0) November 6, 008 MultiBoot with Virtex-5 FPGAs and Platform Flash XL Authors: Jameel Hussein and ish Patel Summary The MultiBoot feature on Virtex -5 FPGAs

More information

User Manual for HMX1-AS2

User Manual for HMX1-AS2 User Manual for HMX1-AS2 Disclaimer. The information in this document is provided in connection with Gleichmann Electronics Research (GE Research) products. GE Research makes no representations or warranties

More information

Parallel Cable III Emulator for the XSV Board

Parallel Cable III Emulator for the XSV Board Parallel Cable III Emulator for the XSV Board June 1, 2002 (Version 1.1) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC95108 CPLD on the XSV Board so its

More information

Virtex-II XC2V40/XC2V1000NM Reference Board User s Guide

Virtex-II XC2V40/XC2V1000NM Reference Board User s Guide Virtex-II XC2V40/XC2V1000NM Reference Board User s Guide Version 1.2 December 2001 Revisions Rev 1.2 Corrected pin numbers in Table 16 J12 User I/O Connector 2 Table of Contents 1 OVERVIEW... 7 2 VIRTEX-II

More information

IP FPGA IP MODULE FPGA HARDWARE REFERENCE MANUAL. Revision 1.5 December 1998

IP FPGA IP MODULE FPGA HARDWARE REFERENCE MANUAL. Revision 1.5 December 1998 IP FPGA- 4020 IP MODULE FPGA HARDWARE REFERENCE MANUAL Revision 1.5 December 1998 This document shall not be duplicated, nor its contents used for any purpose, unless express permission has been granted

More information

ni.com High-Speed Digital I/O

ni.com High-Speed Digital I/O High-Speed Digital I/O Interfacing with Digital I/O Design Verification & Validation Production Characterization Protocol communication Parametric testing DUT control Limit testing Stress testing BERT

More information

19. VITA 57.1 FMC HPC Connector

19. VITA 57.1 FMC HPC Connector Table 1-27: Switch S2 Configuration Details Switch Configuration Mode/Method Switch Net Name JTAG System ACE CF Slave SelectMAP Platform Flash XL Master BPI P30 Linear Flash S2.1 CCLK_EXTERNAL Off On Off

More information

Virtex FPGA Series Configuration and Readback

Virtex FPGA Series Configuration and Readback Application Note: Virtex Series XAPP138 (v2.8) March 11, 2005 Virtex FPGA Series Configuration and eadback Summary This application note is offered as complementary text to the configuration section of

More information

Hollybush1 User Manual. Issue 1.00

Hollybush1 User Manual. Issue 1.00 Hollybush1 User Manual Issue 1.00 Kit Contents You should receive the following items with you Hollybush1 development kit: 1 - Hollybush1 Board, 2 PROG2 Programming Cable (not with OEM). Foreword PLEASE

More information

5I20 ANYTHING I/O MANUAL

5I20 ANYTHING I/O MANUAL 5I20 ANYTHING I/O MANUAL Version 1.9 This page intentionally not blank 12 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

ML605 PCIe x8 Gen1 Design Creation

ML605 PCIe x8 Gen1 Design Creation ML605 PCIe x8 Gen1 Design Creation March 2010 Copyright 2010 Xilinx XTP044 Note: This presentation applies to the ML605 Overview Virtex-6 PCIe x8 Gen1 Capability Xilinx ML605 Board Software Requirements

More information

Local Station: the data read-out basic unit

Local Station: the data read-out basic unit Local Station: the data read-out basic unit for ARGO-YBJ experiment Giovanni Marsella University of Lecce and INFN YangBajing Tibet China (300m a.s.l) Shower Development Shower axis a Shower Front Shower

More information

Introduction to FPGAs. H. Krüger Bonn University

Introduction to FPGAs. H. Krüger Bonn University Introduction to FPGAs H. Krüger Bonn University Outline 1. History 2. FPGA Architecture 3. Current Trends 4. Design Methodology (short see other lectures) Disclaimer: Most of the resources used for this

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs R 0 Platform Flash In-System Programmable Configuration PROMs DS123 (v2.2) December 15, 2003 0 0 Preliminary Product Specification Features In-System Programmable PROMs for Configuration of Xilinx FPGAs

More information

FastFLASH XC9500XL High-Performance CPLD Family

FastFLASH XC9500XL High-Performance CPLD Family k R 0 FastFLASH XC9500XL High-Performance CPLD Family June 7, 1999 (Version 1.5) 0 5* Preliminary Product Specification Features Optimized for high-performance 3.3V systems - 4 ns pin-to-pin logic delays,

More information

MINI-CAN User Manual. Issue 1.02

MINI-CAN User Manual. Issue 1.02 MINI-CAN User Manual Issue 1.02 Kit Contents You should receive the following items in your MINI-CAN development kit: 1 - MINI-CAN Board 2 Programming Cable Figure 1 MINI-CAN Board Introduction Welcome

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

Traffic Manager Co-processor Data Sheet

Traffic Manager Co-processor Data Sheet Traffic Manager Co-processor Data Sheet 89TTM553 Preliminary Information* Description The 89TTM553 is a flow-based traffic management co-processor that can be used in conjunction with the 89TTM552. It

More information

Version Page 2 of 52 SMT398 User Manual

Version Page 2 of 52 SMT398 User Manual SMT398 User Manual Version 1.2.0 Page 2 of 52 SMT398 User Manual Revision History Date Comments Engineer Version 18.07.03 First released version E.P 1.0.0 22.08.03 TIM CONFIG signal feature described E.P

More information

GODIL USER MANUAL V 0.9 OHO-Elektronik

GODIL USER MANUAL V 0.9 OHO-Elektronik GODIL USER MANUAL V 0.9 OHO-Elektronik www.oho-elektronik.de Author: M.Randelzhofer OHO-Elektronik Michael Randelzhofer Rudolf-Diesel-Str. 8 85221 Dachau Germany WEB: www.oho-elektronik.de EMAIL: info@oho-elektronik.de

More information

Programmable Logic. Simple Programmable Logic Devices

Programmable Logic. Simple Programmable Logic Devices Programmable Logic SM098 Computation Structures - Programmable Logic Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL architectures Implements

More information

HSMC Ethernet 2-Port 1588 Precision Timing

HSMC Ethernet 2-Port 1588 Precision Timing Ethernet 2-Port 1588 Precision Timing PHY Daughter Board 1 Table of Contents 1 INTRODUCTION... 4 2 FEATURES... 5 3 BOARD DESCRIPTION... 6 3.1 BLOCK DIAGRAM... 6 3.2 BOARD COMPONENTS... 7 3.2.1 LEDs...

More information

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6 Digilent XC2-XL System Board Reference Manual www.digilentinc.com Revision: May 11, 2004 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent XC2-XL System Board (the

More information

SMT338-VP. User Manual

SMT338-VP. User Manual SMT338-VP User Manual Version 1.3 Page 2 of 22 SMT338-VP User Manual Revision History Date Comments Engineer Version 16/08/04 First revision JPA 1.0 17/05/05 Corrected: purpose of Led 5 and Led 6 SM 1.1

More information

MB-i87Q0. CPU Intel 4 th Gen. Core Processors in LGA1150. LAN Intel PCIe i217lm GbE PHY (iamt supported) Intel PCIe i210at GbE controller

MB-i87Q0. CPU Intel 4 th Gen. Core Processors in LGA1150. LAN Intel PCIe i217lm GbE PHY (iamt supported) Intel PCIe i210at GbE controller MB-i87Q0 Micro-ATX Industrial Motherboard Quick Installation Guide Version. Form Factor Micro-ATX Industrial Motherboard CPU Intel th Gen. Core Processors in LGA50 Chipset Intel Q87 PCH I/O PCIe/ PCI/

More information

GPIO-MM User Manual. FPGA-based PC/104 Counter/Timer and Digital I/O Module. User Manual v1.04

GPIO-MM User Manual. FPGA-based PC/104 Counter/Timer and Digital I/O Module. User Manual v1.04 GPIO-MM User Manual FPGA-based PC/104 Counter/Timer and Digital I/O Module User Manual v1.04 Copyright 2006 Diamond Systems Corporation 1255 Terra Bella Ave. Mountain View, CA 94043 Tel (650) 810-2500

More information

Using ispgdx to Replace Boundary Scan Bus Devices

Using ispgdx to Replace Boundary Scan Bus Devices Using ispgdx to Replace Introduction are available from Texas Instruments (TI) and National Semiconductor (NS)/ Fairchild Semiconductor for enhancing board-level test of bus interfaces. These TI SCOPE

More information

pasic 3 FPGA Family Data Sheet

pasic 3 FPGA Family Data Sheet pasic 3 FPGA Family Data Sheet Device Highlights High Performance & High Density Up to 60,000 usable PLD gates with up to 316 I/Os 300 MHz 16-bit counters, 400 MHz datapaths 0.35 µm four-layer metal non-volatile

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits Q127 Q126 Q125

1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits Q127 Q126 Q125 1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits ADVANCE INFORMATION IDT72P51749 IDT72P51759 IDT72P51769 FEATURES Choose from among

More information

Virtex-II Pro ML320, ML321, ML323 Platform

Virtex-II Pro ML320, ML321, ML323 Platform Product Not ecommended for New Designs Virtex-II Pro ML320, ML321, ML323 Platform User Guide UG033 (v2.1) P/N 0402071 March 19, 2004 Product Not ecommended for New Designs "Xilinx" and the Xilinx logo

More information

CMOS SyncFIFO 64 X 9, 256 x 9, 512 x 9, 1,024 X 9, 2,048 X 9, 4,096 x 9 and 8,192 x 9

CMOS SyncFIFO 64 X 9, 256 x 9, 512 x 9, 1,024 X 9, 2,048 X 9, 4,096 x 9 and 8,192 x 9 Integrated Device Technology, Inc. CMOS SyncFIFO 64 X 9, 256 x 9, 512 x 9, 1,24 X 9, 2,48 X 9, 4,96 x 9 and 8,192 x 9 IDT72421 IDT7221 IDT72211 IDT72221 IDT72231 IDT72241 IDT72251 FEATURES: 64 x 9-bit

More information

Virtex-II Pro (P4/P7) Development Board User s Guide

Virtex-II Pro (P4/P7) Development Board User s Guide Virtex-II Pro (P4/P7) Development Board User s Guide Version 4.0 June 2003 PN# DS -MANUAL-2VP4/7-FG456 Table of Contents 1 ABOUT THIS KIT...1 2 THE VIRTEX-II PRO DEVELOPMENT BOARD...1 2.1 CLOCK GENERATION...3

More information

Data Sheet W65C134DB Developer Board

Data Sheet W65C134DB Developer Board THE WESTERN DESIGN CENTER, INC. 2166 E. Brown Rd. Mesa, AZ 85213 Ph 480-962-4545 Fx 480-835-6442 www.westerndesigncenter.com Data Sheet W65C134DB Developer Board Copyright 2001 by The Western Design Center,

More information

ML605 PCIe x8 Gen1 Design Creation

ML605 PCIe x8 Gen1 Design Creation ML605 PCIe x8 Gen1 Design Creation October 2010 Copyright 2010 Xilinx XTP044 Revision History Date Version Description 10/05/10 12.3 Recompiled under 12.3. AR35422 fixed; included in ISE tools. 07/23/10

More information

Data Sheet W65C02DB Developer Board

Data Sheet W65C02DB Developer Board THE WESTERN DESIGN CENTER, INC. 2166 E. Brown Rd. Mesa, AZ 85213 Ph 480-962-4545 Fx 480-835-6442 www.westerndesigncenter.com Data Sheet W65C02DB Developer Board Copyright 2001 by The Western Design Center,

More information

DLP Discovery 4000 Starter Kit Technical Reference Manual (Product Preview)

DLP Discovery 4000 Starter Kit Technical Reference Manual (Product Preview) Product Preview TI DN 2509931 September 2008 DLP Discovery 4000 Starter Kit Technical Reference Manual (Product Preview) This document describes the functionality of the Texas Instruments DLP Discovery

More information

Research on Embedded CNC Device Based on ARM and FPGA

Research on Embedded CNC Device Based on ARM and FPGA Available online at www.sciencedirect.com Procedia Engineering 16 (2011 ) 818 824 International Workshop on Automobile, Power and Energy Engineering Research on Embedded CNC Device Based on ARM and FPGA

More information

MT V Rate Conversion Digital Switch

MT V Rate Conversion Digital Switch MT63 3 V Rate Conversion Digital Switch Features 2,4 52 and 52 x 52 switching among backplane and local streams Rate conversion between 2.4, 4.6 and.2 Mb/s Optional sub-rate switch configuration for 2.4

More information

XC9572XL XC95144XL XC95288XL

XC9572XL XC95144XL XC95288XL k 0 XC9500XL High-Performance CPLD Family DS054 (v1.6) January 24, 2002 0 0 Preliminary Product Specification Features Optimized for high-performance 3.3V systems - 5 ns pin-to-pin logic delays, with internal

More information

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB FPGA Lab Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB Objective In this Lab, you will learn the basic set-up and design methods of implementing your design by ISE 10.1. Create

More information

HSMC Ethernet Quad-PHY Daughter Board

HSMC Ethernet Quad-PHY Daughter Board Ethernet Quad-PHY Daughter Board 1 Table of Contents 1 INTRODUCTION... 4 2 FEATURES... 5 3 BOARD DESCRIPTION... 6 3.1 BLOCK DIAGRAM... 6 3.2 COMPONENTS... 7 3.2.1 LEDs... 8 3.2.2 MDIO Connector J2... 8

More information

ProASIC to SX-A PQ208 Prototyping Adapter Board. User Document for P/N SI-SXA-APAPQ208-A-KIT

ProASIC to SX-A PQ208 Prototyping Adapter Board. User Document for P/N SI-SXA-APAPQ208-A-KIT ProASIC to SX-A PQ208 Prototyping Adapter Board User Document for P/N SI-SXA-APAPQ208-A-KIT December 2003 Introduction The SI-SXA-APAPQ208 adapter board is a prototyping tool that maps the footprint of

More information

JTAG-HS1 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015

JTAG-HS1 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com JTAG-HS1 Programming Cable for Xilinx FPGAs Revised January 22, 2015 Overview The joint test action group (JTAG)-HS1 programming cable

More information

XRM-CLINK-ADV. Full/Medium/Base Camera Link and Dual ADV212 Adaptor. User Guide. Version 1.0

XRM-CLINK-ADV. Full/Medium/Base Camera Link and Dual ADV212 Adaptor. User Guide. Version 1.0 XRM-CLINK-ADV Full/Medium/Base Camera Link and Dual ADV212 Adaptor User Guide Alpha Data 4 West Silvermills Lane Edinburgh EH3 5BD UK Phone: +44 (0) 131 558 2600 Fax: +44 (0) 131 558 2700 Email: support@alphadata.co.uk

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (ULFFT) November 3, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E-mail: info@dilloneng.com URL: www.dilloneng.com Core

More information

Chapter 2. FPGA and Dynamic Reconfiguration ...

Chapter 2. FPGA and Dynamic Reconfiguration ... Chapter 2 FPGA and Dynamic Reconfiguration... This chapter will introduce a family of silicon devices, FPGAs exploring their architecture. This work is based on these particular devices. The chapter will

More information

Description. Device XC5202 XC5204 XC5206 XC5210 XC5215. Logic Cells ,296 1,936. Max Logic Gates 3,000 6,000 10,000 16,000 23,000

Description. Device XC5202 XC5204 XC5206 XC5210 XC5215. Logic Cells ,296 1,936. Max Logic Gates 3,000 6,000 10,000 16,000 23,000 R XC52 Series Field Programmable Gate Arrays November 5, 1998 (Version 5.2) * Product Specification Features Low-cost, register/latch rich, SRAM based reprogrammable architecture -.5µm three-layer metal

More information

ML631 Virtex-6 HXT FPGA Packet Processor/Traffic Manager Evaluation Board

ML631 Virtex-6 HXT FPGA Packet Processor/Traffic Manager Evaluation Board ML631 Virtex-6 HXT FPGA Packet Processor/Traffic Manager Evaluation Board User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection

More information

EPXA4 I/O Pins ver Pin/Pad Function 1,020-Pin FineLine BGA 672-Pin FineLine BGA. I/O & VREF Bank. Pad Number Orientation

EPXA4 I/O Pins ver Pin/Pad Function 1,020-Pin FineLine BGA 672-Pin FineLine BGA. I/O & VREF Bank. Pad Number Orientation B1 1 PIPESTAT0 N10 H6 B1 2 PIPESTAT1 N9 H7 B1 3 PIPESTAT2 M9 L10 B1 4 TRACECLK N8 L9 B1 5 TRACESYNC M8 J6 B1 6 TRACEPKT0 L8 M8 B1 7 TRACEPKT1 K8 N9 B1 8 TRACEPKT2 J8 L8 B1 9 TRACEPKT3 H8 K6 B1 10 TRACEPKT4

More information

Design Migration from the RT54SX32 to the RT54SX32S Device

Design Migration from the RT54SX32 to the RT54SX32S Device Application Note AC149 Design Migration from the RT54SX32 to the RT54SX32S Device Actel s RT54SX-S family of FPGAs is designed specifically for space applications. Although architecturally related to the

More information

Testbench Template. The Big Picture

Testbench Template. The Big Picture Testbench Template Testbench template generated by Cadence The Big Picture 1010010100100 1001000010100 1110101001110 1010100010011 0100100010001 Input Vector Table DUT (Device Under Test) Compare Actual

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Device XC5202 XC5204 XC5206 XC5210 XC5215. Max Logic Gates 3,000 6,000 10,000 16,000 23,000

Device XC5202 XC5204 XC5206 XC5210 XC5215. Max Logic Gates 3,000 6,000 10,000 16,000 23,000 XC5200 Field Programmable Gate Arrays August 6, 1996 (Version 4.01) Features High-density family of Field-Programmable Gate Arrays (FPGAs) Design- and process-optimized for low cost - 0.6-µm three-layer

More information

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT) ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp http://atlas.kek.jp/tdc/prr/ Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

More information

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL Ian Saunders Ians@jtag.co.uk JTAG TECHNOLOGIES B.V. UK Sales & Support Centre Tel: 01234 831212 Fax: 01234 831616 Design For Test - Component Selection

More information

D0 - D8 INPUT REGISTER. RAM ARRAY 64 x 9, 256 x 9, 512 x 9, 1,024 x 9, 2,048 x 9, 4,096 x 9, 8,192 x 9 OUTPUT REGISTER RESET LOGIC RCLK REN1

D0 - D8 INPUT REGISTER. RAM ARRAY 64 x 9, 256 x 9, 512 x 9, 1,024 x 9, 2,048 x 9, 4,096 x 9, 8,192 x 9 OUTPUT REGISTER RESET LOGIC RCLK REN1 CMOS SyncFIFO 64 x 9, 256 x 9, 512 x 9, 1,24 x 9, 2,48 x 9, 4,96 x 9 and 8,192 x 9 LEAD FINISH (SnPb) ARE IN EOL PROCESS - LAST TIME BUY EXPIRES JUNE 15, 218 FEATURES: 64 x 9-bit organization (IDT72421)

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Read through each section completely before starting so that you have the benefit of all the directions. Put on a grounded wrist strap (cf. Getting Started) before touching

More information

User Manual User Manual (QCF42); Version 3.0, 8/11/00; Sundance Multiprocessor Technology Ltd. 1999

User Manual User Manual (QCF42); Version 3.0, 8/11/00; Sundance Multiprocessor Technology Ltd. 1999 User Manual User Manual (QCF42); Version 3.0, 8/11/00; Sundance Multiprocessor Technology Ltd. 1999 Version 2.5 Page 2 of 25 SMT358 User Manual Revision History Date Comments Engineer Version 10 Feb Initial

More information

Setup/Hold. Set Up time (t su ):

Setup/Hold. Set Up time (t su ): Lecture 10 Agenda Set Up time (t su ): Setup/Hold Minimum amount of time the data is to be held steady prior to the clock event Hold time (t h ): Minimum amount of time the data is to be held steady after

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Introduction to WebPACK 4.1 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Introduction to WebPACK 4.1 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board Introduction to WebPACK 4.1 for FPGAs Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board Release date: 10/29/2001 All XS-prefix product designations are trademarks of XESS Corp. All

More information

Physics 623. Programmable Gate Array Experiment Nov. 30, 2006

Physics 623. Programmable Gate Array Experiment Nov. 30, 2006 Physics 623 Programmable Gate Array Experiment Nov. 30, 2006 1 The Goal of This Experiment You will design a small digital circuit, download the design to a Field Programmable Gate Array (FPGA) I, and

More information

A Brief Introduction to Verilog Hardware Definition Language (HDL)

A Brief Introduction to Verilog Hardware Definition Language (HDL) www.realdigital.org A Brief Introduction to Verilog Hardware Definition Language (HDL) Forward Verilog is a Hardware Description language (HDL) that is used to define the structure and/or behavior of digital

More information

Configuration Modes and Daisy-Chains

Configuration Modes and Daisy-Chains Application Note: Spartan-II Family XAPP176 (v0.9) December 4, 1999 Spartan-II FPGA Family Configuration and eadback Application Note Summary This application note is offered as complementary text to the

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information