Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Size: px
Start display at page:

Download "Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)"

Transcription

1 ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

2 Block Diagram of the AMT-2

3 Asymmetric Ring Osc (8 stage example)

4 Tap Output Waveform (AMT-TEG) PLL OSC vs. Vg 25 f_pll(m Hz) MHz Sim (worst) Sim (typ) Sim (best) measurement Vg[V]

5 Layout of the Asym/ Ring OSC

6 Half Cycle Phase shift Can be Different Phase! (a) AMT-1 Control Voltage( VGN ) Ext. 4 MHz CLK Ring 8MHz Oscillator reset RESET* F1 F3 Phase Frequency Detector F2 LPF Cvg Int.4MHz CLK (b) AMT-2 Control Voltage( VGN ) Ext. 4 MHz CLK Ring 8MHz Oscillator reset F1 Phase Frequency Detector LPF Int.4MHz CLK Cvg Only 1 F/F RESET D Q F3 C D Q F2 C

7 PLL Stability σ = 15 PLL Osc. Ext. Clock Vdd[V] vs Fosc vs Vdd Operating Point 4 8 Fosc[MHz] 12

8 PLL Transition Characteristics (AMT-TEG)

9 Channel Buffer Load request register when all previous requests in register have been serviced Highest priority Channel to write into buffer Hardwired priority encoder Lowest priority Request register Ch. Ch. 1 Ch.22 Ch.23

10 Short Pulses Data Display 3ns : 3ns 3ns $a54a975 Head : TDC =5, EVID=74, BCID=$975 $45818e4 Combi: TDC =5, Ch=16, Width=$3, Time=$e4 $45818eb Combi: TDC =5, Ch=16, Width=$3, Time=$eb $c54a4 Trail: TDC =5, EVID=74, WordCount=4 $a54bd4f Head : TDC =5, EVID=75, BCID=$ d4f $45818e1 Combi: TDC =5, Ch=16, Width=$3, Time=$e1 $45818e8 Combi: TDC =5, Ch=16, Width=$3, Time=$e8 $c54b4 Trail: TDC =5, EVID=75, WordCount=4 :

11 Data Transfer Speed between Chan Buf and L1 Buf T Channel Buffer 5ns + 25ns x Nch Ch 1 Level 1 Buffer Ch 2 Ch N 6 Hit IntervalT [ns] 4 2 All hit accepted T = 5ns + 25ns x Nch Part of hit lost No. of Hit Channels N

12 Trigger Matching reject time reject reject offset coarse time Finds Hits in corresponding time window. Remove Old hits. mask window matching window time search window trigger time search limit trigger latency trigger Start pointer Mask window Read pointer Matching window Search window Write pointer data area mask data matched data

13 (a) full T recover T L1 Buffer Overflow Time Events (b) overflow flag Events with overflow data (c) 1 full T coarse time coarse time 1 recover T 1 full T coarse time bunch_count_offset event_count_offset count_roll_over bunch_count _reset 4MHz clock Load Bunch Count Event Count Load event_count _reset trigger Trigger FIFO 1 : : : Trigger FIFO Overflow 15 5 Trigger lost flag Trigger time tag Event number

14 Serial Output Serial clock Serial data stop start bit 31 bit 3 bit 1 bit parity stop start 36 bits/word LVDS output waveform at 4 Mbps Data 25ns Start Bit31 Bit3 Bit29 Strobe

15 CSR Registers & JTAG I/F DIO[11:] RA[4:] WR CS CSR Interface BSR ï ï ï CSR BSR BSR CSR1 ï ï Control registers ï CSR14 CSR15 (*) CSR16 CSR17 ï ï Status registers ï CSR21 TDI CORE reg CORE reg CORE reg M U X TDO Built In Self Test circuit Device ID register Bypass reg TMS TCK TRSTB TAP Controller Instruction register To ASD Control (*) (*) --- AMT-2 Only

16 ASD Control Function to set ASD registers through AMT JTAG port is added to reduce power and components on the mezzanine board. It is working correctly, but 1 additional register is inserted in JTAG chain by mistake because both chip has a TDO register. Scan Cell Scan Cell Scan Cell TDO reg data_out TDO reg

17 Low-Power LVDS Receiver 26 (3 in AMT-1) LVDS receivers are used in AMT-2. Previous design (Toshiba) uses large transistors in I/O buffer. Smaller input transistors are used in new design. Power Reduced : 15.5 mw -> 4 mw. Propagation Delay is also improved > 1.2 ma

18 Power Consumption Power Consumption of the AMT-2 becomes 15 mw/ch (total 36 mw). (AMT-1 is 33mW/ch, total 8 mw) 4 3 AMT-1-18mW 2 AMT-2 1

AMT-2 & 3 (ATLAS Muon TDC version 2 & 3) Data Sheets

AMT-2 & 3 (ATLAS Muon TDC version 2 & 3) Data Sheets AMT-2 & 3 (ATLAS Muon TDC version 2 & 3) Data Sheets Yasuo Arai KEK, National High Energy Accelerator Research Organization 1-1 Oho, Tsukuba, Ibaraki 305, Japan yasuo.arai@kek.jp, http://atlas.kek.jp/tdc/

More information

Development of a 24 ch TDC LSI for the ATLAS Muon Detector

Development of a 24 ch TDC LSI for the ATLAS Muon Detector Contribution paper to the 6th Workshop on Electronics for LHC Experiments, 11-15, Sep. 2000, Krakow, Poland. Development of a 24 ch TDC LSI for the ATLAS Muon Detector Yasuo Arai 1 and Tsuneo Emura 2 1

More information

Development of a New TDC LSI and a VME Module

Development of a New TDC LSI and a VME Module Presented at the 2001 IEEE Nuclear Science Symposium, San Diego, Nov. 3-10, 2001. To be published in IEEE Trans. Nucl. Sci. June, 2002. Development of a New TDC LSI and a VME Module Yasuo Arai, Member,

More information

TMC304(TEG3) User's Manual

TMC304(TEG3) User's Manual TMC304(TEG3) User's Manual Yasuo Arai KEK, National Laboratory for High Energy Physics 1-1 Oho, Tsukuba, Ibaraki 305, Japan araiy@kekvax.kek.jp http://www-atlas.kek.jp/~araiy/ Ver 1.5 Jan. 17, 2002 (Chip

More information

NJU keys input key-scan IC GENERAL DESCRIPTION PACKAGE OUTLINE FEATURES BLOCK DIAGRAM PIN CONFIGURATION

NJU keys input key-scan IC GENERAL DESCRIPTION PACKAGE OUTLINE FEATURES BLOCK DIAGRAM PIN CONFIGURATION 24 keys input key-scan IC GENERAL DESCRIPTION The NJU6010 is 24 keys input key-scan IC with internal oscillation. It scans the maximum 4x6 key matrix. And the key data transmit to CPU. The microprocessor

More information

GSI Event-driven TDC with 4 Channels GET4. Harald Deppe, EE-ASIC Holger Flemming, EE-ASIC. Holger Flemming

GSI Event-driven TDC with 4 Channels GET4. Harald Deppe, EE-ASIC Holger Flemming, EE-ASIC. Holger Flemming GSI Event-driven TDC with 4 Channels GET4, EE-ASIC, EE-ASIC Schematic DLL N Delay Elements Reference Clock Phasedetector & Charge Pump Schematic DoubleBin DLL N Delay Elements Reference Clock Phasedetector

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Aeroflex Colorado Springs Application Note

Aeroflex Colorado Springs Application Note Synchronous SRAM (SSRAM) JTAG Operation Table : Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD # Device Type Internal PIC #. Overview 64Mbit Synchronous SRAM UT8SP2M32

More information

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007 TOF Electronics J. Schambach University of Texas Review, BNL, 2 Aug 2007 1 Outline Electronics Overview Trigger & DAQ Interfaces Board Status, Tests & Plans 2 Electronics for One Side 3 Tray Level Electronics

More information

RA8835. Dot Matrix LCD Controller Specification. Version 1.2 June 1, RAiO Technology Inc. Copyright RAiO Technology Inc.

RA8835. Dot Matrix LCD Controller Specification. Version 1.2 June 1, RAiO Technology Inc. Copyright RAiO Technology Inc. RAiO Dot Matrix LCD Controller Specification Version 1.2 June 1, 2005 RAiO Technology Inc. Copyright RAiO Technology Inc. 2004, 2005 RAiO TECHNOLOGY I. 1/6 Preliminary Version 1.2 1. Overview The is a

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

Production Testing of ATLAS MDT Front-End Electronics.

Production Testing of ATLAS MDT Front-End Electronics. Production Testing of ATLAS MDT Front-End Electronics. E. Hazen, C. Posch, Boston University, Boston MA L. Kirsch, Brandeis University, Waltham MA G. Brandenburg, M. Nudell, J. Oliver, Harvard University,

More information

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment.

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. 8th Workshop on Electronics for LHC Experiments 9-13 Sept.

More information

RT54SX T r / T f Experiment

RT54SX T r / T f Experiment 955 East Arques Avenue, Sunnyvale, CA 94086 408-739-1010 RT54SX T r / T f Experiment July 08, 2002 BY Actel Product Engineering 1 DATE: July 08, 2002 DEVICE TYPE: RT54SX16-CQ256E RT54SX32-CQ208P WAFER

More information

TM54S416T SDRAM. Frequency vs. AC Parameter Unit t CK

TM54S416T SDRAM. Frequency vs. AC Parameter Unit t CK Description The TM54S416T is organized as 4-bank x 1048576-word x 16-bit(4Mx16), fabricated with high performance CMOS technology. Synchronous design allows precise cycle control with the use of system

More information

13. Configuring Stratix & Stratix GX Devices

13. Configuring Stratix & Stratix GX Devices 13. Configuring Stratix & Stratix GX Devices S52013-2.0 Introduction You can configure Stratix TM and Stratix GX devices using one of several configuration schemes. All configuration schemes use either

More information

FEC. Front End Control unit for Embedded Slow Control D R A F T. C. Ljuslin C. Paillard

FEC. Front End Control unit for Embedded Slow Control D R A F T. C. Ljuslin C. Paillard FEC Front End Control unit for Embedded Slow Control C. Ljuslin C. Paillard D R A F T A. M. FECSpecs.doc DRAFT - 0.84 1 7/22/2003 1. DOCUMENT HISTORY 000322 TIMEOUT in status reg 1added 000330 TTCRX_READY

More information

MT V Rate Conversion Digital Switch

MT V Rate Conversion Digital Switch MT63 3 V Rate Conversion Digital Switch Features 2,4 52 and 52 x 52 switching among backplane and local streams Rate conversion between 2.4, 4.6 and.2 Mb/s Optional sub-rate switch configuration for 2.4

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

Topics. Interfacing chips

Topics. Interfacing chips 8086 Interfacing ICs 2 Topics Interfacing chips Programmable Communication Interface PCI (8251) Programmable Interval Timer (8253) Programmable Peripheral Interfacing - PPI (8255) Programmable DMA controller

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

V SS (GND) RESET. Backplane Data Memories (8,192 channels) Local Connection Memory (4,096 locations) Backplane Connection Memory (8,192 locations)

V SS (GND) RESET. Backplane Data Memories (8,192 channels) Local Connection Memory (4,096 locations) Backplane Connection Memory (8,192 locations) 12 K-Channel Digital Switch with High Jitter Tolerance, Per Stream Rate Conversion (2, 4, 8, 16, or 32 Mbps), and 48 Inputs and 48 Outputs Features 12,288-channel x 12,288-channel non-blocking unidirectional

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

TP6825. USB Full Speed Game Pad Controller. Data Sheet. Tenx reserves the right to change or discontinue this product without notice.

TP6825. USB Full Speed Game Pad Controller. Data Sheet. Tenx reserves the right to change or discontinue this product without notice. Advance Information TP6825 USB Full Speed Game Pad Controller Data Sheet Tenx reserves the right to change or discontinue this product without notice. tenx technology, inc. Contain 1. GENERAL DESCRIPTION...

More information

V SS (GND) Backplane Data Memories (8,192 channels) Backplane Connection Memory (8,192 locations) Local Connection Memory (8,192 locations)

V SS (GND) Backplane Data Memories (8,192 channels) Backplane Connection Memory (8,192 locations) Local Connection Memory (8,192 locations) 16 K-Channel Digital Switch with High Jitter Tolerance, Per Stream Rate Conversion (2, 4, 8, 16, or 32 Mbps), and 64 Inputs and 64 Outputs Features 16,384-channel x 16,384-channel non-blocking unidirectional

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

RA8835A. Dot Matrix LCD Controller Specification. Version 1.1 September 18, RAiO Technology Inc. Copyright RAiO Technology Inc.

RA8835A. Dot Matrix LCD Controller Specification. Version 1.1 September 18, RAiO Technology Inc. Copyright RAiO Technology Inc. RAiO Dot Matrix LCD Controller Specification Version 1.1 September 18, 2014 RAiO Technology Inc. Copyright RAiO Technology Inc. 2014 RAiO TECHNOLOGY I. 1/6 www.raio.com.tw Preliminary Version 1.1 1. Overview

More information

TTP226. Preliminary 8 KEYS TOUCH PAD DETECTOR IC GENERAL DESCRIPTION

TTP226. Preliminary 8 KEYS TOUCH PAD DETECTOR IC GENERAL DESCRIPTION 8 KEYS TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The TTP222 is a touch pad detector IC which offers 8 touch keys. The touching detection IC is designed for replacing traditional direct button key with

More information

Taiwan Micropaq Corporation

Taiwan Micropaq Corporation Taiwan Micropaq Corporation SPECIFICATION FOR APPROVAL TM50S116T-7G No.4 Wenhua Rd. HsinChu Industrial Park HuKou, Taiwan, R.O.C. TEL 886-3-597-9402 FAX 886-3-597-0775 http://www.tmc.com.tw TMC SDRAM TM50S116T-7G

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

PC-CARD-DAS16/12 Specifications

PC-CARD-DAS16/12 Specifications Specifications Document Revision 1.1, February, 2010 Copyright 2010, Measurement Computing Corporation Typical for 25 C unless otherwise specified. Specifications in italic text are guaranteed by design.

More information

SECTION 2 SIGNAL DESCRIPTION

SECTION 2 SIGNAL DESCRIPTION SECTION 2 SIGNAL DESCRIPTION 2.1 INTRODUCTION Figure 2-1 displays the block diagram of the MCF5206 along with the signal interface. This section describes the MCF5206 input and output signals. The descriptions

More information

CMS Tracker PLL Reference Manual

CMS Tracker PLL Reference Manual CMS Tracker PLL Reference Manual P. Placidi, A. Marchioro and P. Moreira CERN - EP/MIC, Geneva Switzerland July 2 Version 2. Clock and Trigger Distribution 3 ASIC architecture...4 I2C Interface 6 Tracker

More information

9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices

9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices July 2012 AIIGX51009-4.3 9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices AIIGX51009-4.3 This chapter describes the supported configuration schemes for Arria II devices,

More information

Configuring Cyclone FPGAs

Configuring Cyclone FPGAs Configuring Cyclone FPGAs March 2003, ver. 1.1 Application Note 250 Introduction You can configure Cyclone TM FPGAs using one of several configuration schemes, including the new active serial (AS) configuration

More information

PC104P-24DSI Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board

PC104P-24DSI Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board PC104P-24DSI12 12-Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board With 200 KSPS Sample Rate per Channel and Optional Low-Power Configuration Available also in PCI, cpci and PMC form factors as:

More information

PC-CARD-DAS16/16 Specifications

PC-CARD-DAS16/16 Specifications Specifications Document Revision 2.1, February, 2010 Copyright 2010, Measurement Computing Corporation Typical for 25 C unless otherwise specified. Specifications in italic text are guaranteed by design.

More information

1 Beta extension of the 1394a PHY Link Interface Specification

1 Beta extension of the 1394a PHY Link Interface Specification 1 Beta extension of the 1394a PHY Link Interface Specification 1.1 Introduction The beta PHY- Link interface provides the ability to extend the existing 1394a interface (as currently proposed) to support

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

SCAN92LV090 9 Channel Bus LVDS Transceiver w/ Boundary SCAN

SCAN92LV090 9 Channel Bus LVDS Transceiver w/ Boundary SCAN 9 Channel Bus LVDS Transceiver w/ Boundary SCAN General Description The SCAN92LV090A is one in a series of Bus LVDS transceivers designed specifically for the high speed, low power proprietary backplane

More information

One and a half hours. Section A is COMPULSORY

One and a half hours. Section A is COMPULSORY One and a half hours Section A is COMPULSORY An additional answersheet is provided for Question 4. Please remember to complete the additional answersheet with your University ID number and attach it to

More information

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621 企业网站.zxlcd.com

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621   企业网站.zxlcd.com http://wwwzxlcdcom 4 SEG / 6 COM DRIVER & CONTROLLER FOR DOT MATRIX LCD June 2 Ver Contents in this document are subject to change without notice No part of this document may be reproduced or transmitted

More information

Chapter 7 Debugging Support

Chapter 7 Debugging Support Chapter 7 Debugging Support The DSP563 modules and features for debugging applications during system development are as follows: JTAG Test Access Port (TAP): Provides the TAP and Boundary Scan functionality

More information

PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA

PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA 95005 831-336-8891 www.dyneng.com This document contains information of proprietary interest to Dynamic Engineering.

More information

Pin Information for the Cyclone EP1C6 Device Version 1.5

Pin Information for the Cyclone EP1C6 Device Version 1.5 T144 Q240 F256 B1 VREF0B1 IO LVDS14p INIT_DONE 1 1 D4 DM1L B1 VREF0B1 IO LVDS14n CRC_ERROR 2 2 C3 DQ1L0 B1 VREF0B1 IO LVDS13p CLKUSR 3 3 C2 DQ1L1 B1 VREF0B1 IO LVDS13n 4 4 B1 B1 VREF0B1 IO VREF0B1 5 5

More information

9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices

9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices January 2011 SV51010-1.2 9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices SV51010-1.2 This chapter contains information about the Stratix V supported configuration schemes,

More information

Boundary-scan test for structural fault detection

Boundary-scan test for structural fault detection Boundary-scan test for structural fault detection J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 351 225 81 889 / Fax: 351 225 81 443 [ jmf@fe.up.pt ] Tallinn Technical

More information

11. Configuring Arria GX Devices

11. Configuring Arria GX Devices 11. Configuring Arria GX Devices AGX52011-1.2 Introduction Arria GX II devices use SRAM cells to store configuration data. Because SRAM memory is volatile, configuration data must be downloaded to Arria

More information

MCC-DSM Specifications

MCC-DSM Specifications DETECTOR CHIP BUMP ELECTRONIC CHIP MCC Design Group Receiver Issue: Revision: 0.1 Reference: ATLAS ID-xx Created: 30 September 2002 Last modified: 7 October 2002 03:18 Edited By: R. Beccherle and G. Darbo

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

EMBEDDED ULTRA-LOW POWER Intel486 SX PROCESSOR

EMBEDDED ULTRA-LOW POWER Intel486 SX PROCESSOR EMBEDDED ULTRA-LOW POWER Intel486 SX PROCESSOR Ultra-Low Power Version of the Intel486 176-Lead Thin Quad Flat Pack (TQFP) SX Processor Separate Voltage Supply for Core Circuitry 32-Bit RISC Technology

More information

2.5V SEQUENTIAL FLOW-CONTROL DEVICE 36 BIT WIDE CONFIGURATION For use with 128Mb to 256Mb DDR SDRAM. IDT72T6360 Sequential Flow Control Device.

2.5V SEQUENTIAL FLOW-CONTROL DEVICE 36 BIT WIDE CONFIGURATION For use with 128Mb to 256Mb DDR SDRAM. IDT72T6360 Sequential Flow Control Device. FEATURES Product to be used with single or multiple external DDR SDRAM to provide significant storage capability of up to 1Gb density 166MHz operation (6ns read/write cycle time) User selectable input

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

Shanghai Belling Corp., Ltd BL55077 zip: Tel: Fax:

Shanghai Belling Corp., Ltd BL55077 zip: Tel: Fax: LCD Driver for 160 Display Units BL55077 1 General Description The BL55077 is a general LCD driver IC for 160 units LCD panel. It features a wide operating supply voltage range, incorporates simple communication

More information

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices September 2012 SIV51010-3.5 10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices SIV51010-3.5 This chapter describes the configuration, design security, and remote system

More information

UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT

UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT SERIAL-INTERFACED 10-DIGIT LED CONTROLLER IC WITH KEYSCAN DESCRIPTION The UL318 is a compact LED controller and driver that interface

More information

JTAG and I 2 C on ELMB

JTAG and I 2 C on ELMB JTAG and I 2 C on ELMB Henk Boterenbrood NIKHEF, Amsterdam Nov 2000 Version 0.3 ABSTRACT The ELMB is designed as a general-purpose plug-on module for distributed monitoring and control applications in

More information

TP6836. USB 2.4G RF Dongle. Data Sheet

TP6836. USB 2.4G RF Dongle. Data Sheet TP6836 Data Sheet tenx reserves the right to change or discontinue the manual and online documentation to this product herein to improve reliability, function or design without further notice. tenx does

More information

cpci6u64-20aof16c500kr

cpci6u64-20aof16c500kr cpci6u64-20aof16c500kr 20-Bit 16-Output 500KSPS Precision Wideband cpci 6U Analog Output Board With 8th-Order reconstruction output filters Features Include: 16 Single-ended or optional 3-Wire Differential

More information

Lecture-50 Intel 8255A: Programming and Operating Modes

Lecture-50 Intel 8255A: Programming and Operating Modes Lecture-50 Intel 8255A: Programming and Operating Modes Operation Description: There are three basic modes of operation that can be selected by the system software. Mode 0: Basic Input/output Mode 1: Strobes

More information

A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS

A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS Stefan Ritt, Paul Scherrer Institute, Switzerland A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS 13 March 2014 Workshop on Picosecond Photon Sensors,

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán Nevis ADC Design Columbia University June 4, 2014 Outline The goals of the project Introductory remarks The road toward the design Components developed in Nevis09, Nevis10 and Nevis12 Nevis13 chip Architecture

More information

A Low-Power Wave Union TDC Implemented in FPGA

A Low-Power Wave Union TDC Implemented in FPGA A Low-Power Wave Union TDC Implemented in FPGA Jinyuan Wu a*, Yanchen Shi b and Douglas Zhu b a Fermi National Accelerator laboratory, Batavia, IL 60510, USA b Illinois Mathematics and Science Academy,

More information

Trigger Server: TSS, TSM, Server Board

Trigger Server: TSS, TSM, Server Board Trigger Server: TSS, TSM, Server Board Selection of two best muon candidates in one chamber and ghost suppression Parallel architecture Two stage processing to Sector Collector (LVDS link) Inside Minicrate

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

Computer and Digital System Architecture

Computer and Digital System Architecture Computer and Digital System Architecture EE/CpE-810-A Bruce McNair bmcnair@stevens.edu 1-1/37 Week 8 ARM processor cores Furber Ch. 9 1-2/37 FPGA architecture Interconnects I/O pin Logic blocks Switch

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

3.3 VOLT TIME SLOT INTERCHANGE DIGITAL SWITCH 16,384 X 16,384 GND RESET TX0 RX0. Data Memory MUX. Connection Memory. Internal Registers

3.3 VOLT TIME SLOT INTERCHANGE DIGITAL SWITCH 16,384 X 16,384 GND RESET TX0 RX0. Data Memory MUX. Connection Memory. Internal Registers 3.3 VOLT TIME SLOT INTERCHANGE DIGITAL SWITCH 16,384 X 16,384 IDT72V73260 FEATURES: 16K x 16K non-blocking switching at 32.768Mb/s 32 serial input and output streams Accepts single-bit single-data streams

More information

32-bit ARM Cortex -M3 FM3 Microcontroller

32-bit ARM Cortex -M3 FM3 Microcontroller 32-bit ARM Cortex -M3 FM3 Microcontroller The MB9AAA0N Series are highly integrated 32-bit microcontrollers that dedicated for embedded controllers with low-power consumption mode and competitive cost.

More information

1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits Q127 Q126 Q125

1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits Q127 Q126 Q125 1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits ADVANCE INFORMATION IDT72P51749 IDT72P51759 IDT72P51769 FEATURES Choose from among

More information

UNIT - II PERIPHERAL INTERFACING WITH 8085

UNIT - II PERIPHERAL INTERFACING WITH 8085 UNIT - II PERIPHERAL INTERFACING WITH 8085 Peripheral Interfacing is considered to be a main part of Microprocessor, as it is the only way to interact with the external world. The interfacing happens with

More information

TTCrx Receiver User Manual

TTCrx Receiver User Manual Document version: v0.1 TTCrx Receiver User Manual Author: Johan Alme (jalm@hib.no) Firmware version: v2.0 RCU BUS TTC clk 40 MHz TTC Reset TTC registers asynch TTC Ready TTC ch A cha Decoder L0 / L1a Receiving

More information

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program MOSIS Chip Test Report Dan Suo File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program Technology: 0.5um CMOS, ON Semiconductor

More information

Keyboard and PS/2 Mouse Controller

Keyboard and PS/2 Mouse Controller KBD43W13 Keyboard and PS/2 Mouse Controller FEATURES Supports IBM PC and Compatible System Designs Full Hardwire Design Based on Advanced VLSI CMOS Technology Supports PS/2 Mouse 6 MHz to 12 MHz Operating

More information

The Design and Testing of the Address in Real Time Data Driver Card for the Micromegas Detector of the ATLAS New Small Wheel Upgrade

The Design and Testing of the Address in Real Time Data Driver Card for the Micromegas Detector of the ATLAS New Small Wheel Upgrade The Design and Testing of the Address in Real Time Data Driver Card for the Micromegas Detector of the ATLAS New Small Wheel Upgrade L. Yao, H. Chen, K. Chen, S. Tang, and V. Polychronakos Abstract The

More information

Programming in the MAXQ environment

Programming in the MAXQ environment AVAILABLE The in-circuit debugging and program-loading features of the MAXQ2000 microcontroller combine with IAR s Embedded Workbench development environment to provide C or assembly-level application

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

HT1621. RAM Mapping 32 4 LCD Controller for I/O µc. Features. General Description. Selection Table

HT1621. RAM Mapping 32 4 LCD Controller for I/O µc. Features. General Description. Selection Table RAM Mapping 32 4 LCD Controller for I/O µc Features Operating voltage : 2.4V~5.2V Built-in 256kHz RC oscillator External 32.768kHz crystal or 256kHz frequency source input Selection of 1/2 or 1/3 bias,

More information

256 channel readout board for 10x10 GEM detector. User s manual

256 channel readout board for 10x10 GEM detector. User s manual 256 channel readout board for 10x10 GEM detector User s manual This user's guide describes principles of operation, construction and use of 256 channel readout board for 10x10 cm GEM detectors. This manual

More information

PC104P-24DSI6LN. Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module. With 200 KSPS Sample Rate per Channel

PC104P-24DSI6LN. Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module. With 200 KSPS Sample Rate per Channel PC104P-24DSI6LN Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module With 200 KSPS Sample Rate per Channel Available also in PCI, cpci and PMC form factors as: PCI-24DSI6LN: cpci-24dsi6ln:

More information

CPCI-HPDI32ALT High-speed 64 Bit Parallel Digital I/O PCI Board 100 to 400 Mbytes/s Cable I/O with PCI-DMA engine

CPCI-HPDI32ALT High-speed 64 Bit Parallel Digital I/O PCI Board 100 to 400 Mbytes/s Cable I/O with PCI-DMA engine CPCI-HPDI32ALT High-speed 64 Bit Parallel Digital I/O PCI Board 100 to 400 Mbytes/s Cable I/O with PCI-DMA engine Features Include: 200 Mbytes per second (max) input transfer rate via the front panel connector

More information

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 Radiation Group 1 I. Introduction This document disseminates recently acquired single-event-effects (SEE) data on the

More information

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano White Rabbit A quick introduction Javier Serrano CERN BE-CO Hardware and Timing section ICALEPCS pre-conference workshop Barcelona, 7 October 2017 Javier Serrano Introduction to White Rabbit 1/29 Outline

More information

The CCU25: a network oriented Communication and Control Unit integrated circuit in a 0.25 µm CMOS technology.

The CCU25: a network oriented Communication and Control Unit integrated circuit in a 0.25 µm CMOS technology. The 25: a network oriented Communication and Control Unit integrated circuit in a 0.25 µm CMOS technology. C. Paillard, C. Ljuslin, A. Marchioro CERN, 1211 Geneva 23, Switzerland Christian.Paillard@cern.ch

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

DC5 Design Description

DC5 Design Description DC5 Design Description The two major components in the DC5 are U1, the WD2797 Floppy Disk Controller, and U2, the Xilinx XC9572 programmable logic device. The operation of the WD2797 is provided in a separate

More information

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC Ordering number : ENN7632 CMOS IC LC75700T Key Scan IC Overview The LC75700T is a key scanning LSI that accepts input from up to 30 keys and can control up to four generalpurpose output ports. Therefore

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000

Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000 June 15, 2000 Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000 Jinfeng Liu Yi Deng ID: 65547013 (jinfengl@ece.uci.edu) ID: 57434732 (dengy@ece.uci.edu) Project Summary In this

More information

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5.

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5. DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6502- MICROPROCESSORS AND MICROCONTROLLERS UNIT I: 8085 PROCESSOR PART A 1. What is the need for ALE signal in

More information

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices December 1999, ver. 1.02 Application Note 116 Introduction APEX TM 20K, FLEX 10K, and FLEX 6000 devices can be configured using one of six configuration

More information

DESCRIPTION: FUNCTIONAL BLOCK DIAGRAM. 3.3 VOLT TIME SLOT INTERCHANGE DIGITAL SWITCH 8,192 x 8,192 JUNE Internal Registers

DESCRIPTION: FUNCTIONAL BLOCK DIAGRAM. 3.3 VOLT TIME SLOT INTERCHANGE DIGITAL SWITCH 8,192 x 8,192 JUNE Internal Registers 3.3 VOLT TIME SLOT INTERCHANGE DIGITAL SWITCH 8,192 x 8,192 IDT72V73250 FEATURES: 8K x 8K non-blocking switching at 32.768Mb/s 16 serial input and output streams Accepts single-bit single-data streams

More information

8M x 64 Bit PC-100 SDRAM DIMM

8M x 64 Bit PC-100 SDRAM DIMM PC-100 SYNCHRONOUS DRAM DIMM 64814ESEM4G09TWF 168 Pin 8Mx64 (Formerly 64814ESEM4G09T) Unbuffered, 4k Refresh, 3.3V with SPD Pin Assignment General Description The module is a 8Mx64 bit, 9 chip, 168 Pin

More information

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at:

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: http://cmsdoc.cern.ch/cms/hcal/document/countinghouse/dcc/dcctechref.pdf Table

More information

18-Mbit (512K 36/1M 18) Pipelined SRAM

18-Mbit (512K 36/1M 18) Pipelined SRAM 18-Mbit (512K 36/1M 18) Pipelined SRAM 18-Mbit (512K 36/1M 18) Pipelined SRAM Features Functional Description Supports bus operation up to 250 MHz Available speed grades are 250, 200, and 167 MHz Registered

More information