Processor Core Customization: Your SOC design team s fastest route from C to gates

Size: px
Start display at page:

Download "Processor Core Customization: Your SOC design team s fastest route from C to gates"

Transcription

1 WHITE PAPER Processor Core Customization: Your SOC design team s fastest route from C to gates The manager s quick guide to leading your SOC design team out of verification Hell and toward a successful first-time tapeout SOC complexity has skyrocketed in the last several years thanks to the relentless application of Moore s Law. The result: a double-edged sword that allows SOC design teams to reach for the technological stars while, at the same time, being dragged into verification Hell. It need not be this way. The solution is remarkably familiar and easier than you might think. The state of SOC design How did we get here? Figure 1 shows the answer. The custom-ic revolution started with gate arrays in the early 1980s. Prior to the introduction of gate arrays, the development of custom chips was limited to a few companies that had the money and resources to develop their own ICs. Gate array vendors hid much of the complexity and cost of physical IC design and allowed logic-design teams to cast their designs in silicon instead of circuit boards. The result was electric. Many more companies could afford custom chips using the gate-array route and system complexity jumped. By 1990, with the introduction of logic synthesis, logic-design teams could tackle even more complex system designs by creating standard-cell ASICs. System complexity jumped again and the cost to use this design methodology also jumped, to accommodate the required EDA tools, but logic designers could still create ASICs while staying relatively aloof from the nitty gritty aspects of physical design. By the era of the SOC (essentially an ASIC with an on-chip processor, RAM, and ROM) and the advent of nanometer lithographies, the party started to wind down. Design complexities of many millions of logic gates meant that system designs were now very complex. Gate-level verification became a real burden. The cost and time required for verification started to approach that of the design itself.

2 Page 2 Figure 1: As custom IC design has evolved from gate arrays to multicore SOCs, verification as a component of overall development has grown to become larger than design in terms of cost and time. Now the industry is in the era of the multicore SOC and chip-level complexities have hit new highs. For many design projects, verification represents more than half of the development cost. Design is no longer the leading consideration. Something has clearly gone wrong. Usually, companies promising a solution to this growing problem invoke the phrase higher level of abstraction. But just what does that phrase mean and why will a higher abstraction level help? The starting point: algorithms The place to start is with the most fundamental part of system design: algorithm development. Systems are collections of independently and dependently operating algorithms. For example, a multimedia player uses one algorithm to decompress a media stream, another to decode the video into a series of images, and yet another algorithm to decode the audio into sound. Baseband radio chips employ a very large variety of algorithms such as FFTs and inverse FFTs, rake filters, Viterbi and Turbo decoders, etc. All systems are based on the execution of one or more algorithms. Algorithm development is the first step in the system-development process because it creates the building blocks needed to construct the system. The left side of Figure 2 illustrates a conventional path for getting from an algorithm to a piece of silicon. It s important to understand this path because it embodies that lower level of abstraction that s causing all the trouble.

3 Page 3 Figure 2: RTL-centric design, shown on the left, forces SOC engineers to manually implement designs at low abstraction levels. As system complexity increases, this approach becomes expensive and unwieldy. A processor-centric approach eliminates the low-level manual design and speeds the process of getting to a gate-level design. The left side of Figure 2 illustrates a flow that starts with the algorithm development. These days, algorithm development is done using C or C++ (or sometimes MATLAB). The resulting compiled code is proven on an inexpensive PC or, in some cases, an array of inexpensive PCs. When the algorithm is proven, it will usually exist as a floating-point model, which is then converted into a fixed-point model to ease the eventual conversion into a gate-level design. At that point, designers take the C or C++ code and manually translate it into a hardware description language (HDL) such as Verilog or VHDL. The HDL description is the language of logic synthesis, which represents the automated portion of the conventional flow that takes the design from C to gates. After the initial design, simulation indicates areas that can be optimized to meet performance, timing, or power goals. Much of this optimization is manual as well. Finally, when simulation and logic verification indicate that a design is correct and sufficiently

4 Page 4 verified, it s submitted for logic synthesis and then physical design. Much of this work becomes redundant and unnecessary when your design team uses hardware IP like a processor core. At this point, it s critical to note that much of the manual design effort goes into converting the algorithmic C or C++ code into HDL. Manual conversion is slow, error-prone, and consequently it s expensive. Also note that the algorithm is already coded and proven in C/C++. It already runs successfully on a processor. However, the C/C++ version of the algorithm run on a general-purpose processor or DSP may not meet one or more of the design s power/price/performance criteria and so further optimization is needed. If the criteria were all met by running the C/C++ algorithm code on a processor, there would be no need to develop hardware the processor would be enough. "There must be some way out of here," said the joker to the thief There is a middle path. It s called processor customization. This path has been open since the first days of SOCs, when processors first appeared on ASICs. As long as the silicon is going to be custom tailored for a specific application, the processor can be custom tailored as well. However, the relative lack of processor designers and the complexity of developing and maintaining an associated tool chain have stopped designers from using custom-tailored processors in the past. It hasn t been easy to create an efficient processor design that performs well and it s harder still to develop and support a software-development tool chain for a custom processor core. The costs for getting a custom-designed processor core and tools simply haven t been reasonable. If your SOC design team were to approach a conventional processor vendor and ask for a custom processor that efficiently ran a specific algorithm optimally along with the requisite software-development tools, they d get one of two answers. The first would simply be No. The second would be a cost quote that would make this alternative design approach economically out of reach. That s no longer true however. Automated tools are now available that allow logic designers and software developers specifically not processor designers to custom tailor processors for specific on-chip SOC tasks. The customized processors are optimized to run targeted algorithms faster than can general-purpose processors and DSPs because new registers and instructions have been added so that multiinstruction sequences become single-instruction sequences. Because they are guaranteed correct-by-construction, these processors require much less gate-level verification. Because all of the needed processing capability comes in one optimized IP core, design is simplified; There s no longer a need for a separate controller core and a DSP in many SOC designs that might have otherwise needed two processor cores. Fully automated processor-optimization tools can produce a custom processor directly from an algorithm s C/C++ source code in much less than a day. These optimized processor cores can be 3-10x faster than general-purpose processor cores, which is fast enough to satisfy many project needs. Further analysis and optimization performed by developers trained to use the customization tools (although still not

5 Page 5 processor designers) can run x faster and often reaches the speed of direct, gate-level RTL conversions. Yet the costs are similar to what you d expect to pay for non-optimized, general purpose processor cores that lack the application-specific optimizations and therefore require more clock rate or even a hardware assist to meet project performance goals. Your existing SOC-design team can do the job itself and can escape from the need to perform gate-level verification on millions of gates. That s effort and time saved and, the truth is, the faster your team gets from concept to chip, the better. You need that competitive design edge and your SOC design team deserves the tools they need to win. Contact us today.

6 Page 6 An Open Invitation To start your design team on that different path to successful tapeout, the path that avoids verification Hell, contact Tensilica for assistance. For more information on the unique abilities and features of the customizable family of Xtensa processor cores and associated development tools, see send an to sales@tensilica.com, or contact Tensilica directly at: US Sales Offices: Santa Clara, CA office: Scott Blvd. Santa Clara, CA Tel: Fax: San Diego, CA office: 1902 Wright Place, Suite 200 Carlsbad, CA Tel: Fax: Boston, MA office: 25 Mall Road, Suite 300 Burlington, MA Tel: x8352 Fax: International Sales Offices: Yokohama office (Japan): Xte Shin-Yokohama Building 2F , Shin-Yokohama, Kohoku-ku, Yokohama , Japan Tel: ( ) Fax: ( ) Israel: Amos Technologies Moshe Stein moshe@amost.co.il Beijing office (China HQ): Room 1109, B Building, Bo Tai Guo Ji, 122th Building of Nan Hu Dong Yuan, Wang Jing, Chao Yang District, Beijing, PRC Postcode: Tel: (86) Fax: (86) Taiwan office: 7F-6, No. 16, JiHe Road, ShihLin Dist, Taipei 111, Taiwan ROC Tel: Fax: Seoul, Korea office: 27th FL., Korea World Trade Center, 159-1, Samsung-dong, Kangnam-gu, Seoul , Korea Tel: Fax: UK office (Europe HQ): Asmec Centre Eagle House The Ring Bracknell Berkshire RG12 1HB Tel : Fax :

How to Add Low-Power, Multi-Codec, Digital Video and Audio to Your Next ASIC or SOC Design

How to Add Low-Power, Multi-Codec, Digital Video and Audio to Your Next ASIC or SOC Design WHITE PAPER How to Add Low-Power, Multi-Codec, Digital Video and Audio to Your Next ASIC or SOC Design Since the early 1990s, video compression has grown increasingly important for the design of modern

More information

How to Increase ASICs and SOC Computational Performance with Long-Word Processors

How to Increase ASICs and SOC Computational Performance with Long-Word Processors WHITE PAPER How to Increase ASICs and SOC Computational Performance with Long-Word Processors VLIW processors execute multiple independent instructions each clock cycle and provide a tremendous performance

More information

How to Avoid the Traps and Pitfalls of SOC Design

How to Avoid the Traps and Pitfalls of SOC Design WHITE PAPER How to Avoid the Traps and Pitfalls of SOC Design Chances are pretty good that your current SOC design approach is making your job much harder than it needs to be. Start out on an easier path

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

ConnX D2 DSP Engine. A Flexible 2-MAC DSP. Dual-MAC, 16-bit Fixed-Point Communications DSP PRODUCT BRIEF FEATURES BENEFITS. ConnX D2 DSP Engine

ConnX D2 DSP Engine. A Flexible 2-MAC DSP. Dual-MAC, 16-bit Fixed-Point Communications DSP PRODUCT BRIEF FEATURES BENEFITS. ConnX D2 DSP Engine PRODUCT BRIEF ConnX D2 DSP Engine Dual-MAC, 16-bit Fixed-Point Communications DSP FEATURES BENEFITS Both SIMD and 2-way FLIX (parallel VLIW) operations Optimized, vectorizing XCC Compiler High-performance

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures ECE 747 Digital Signal Processing Architecture DSP Implementation Architectures Spring 2006 W. Rhett Davis NC State University W. Rhett Davis NC State University ECE 406 Spring 2006 Slide 1 My Goal Challenge

More information

S5U1C88000P Manual (S1C88 Family Peripheral Circuit Board)

S5U1C88000P Manual (S1C88 Family Peripheral Circuit Board) MF1434-01 CMOS 8-BIT SINGLE CHIP MICROCOMPUTER S5U1C88000P Manual (S1C88 Family Peripheral Circuit Board) NOTICE No part of this material may be reproduced or duplicated in any form or by any means without

More information

Optimize DSP Designs and Code using Fixed-Point Designer

Optimize DSP Designs and Code using Fixed-Point Designer Optimize DSP Designs and Code using Fixed-Point Designer MathWorks Korea 이웅재부장 Senior Application Engineer 2013 The MathWorks, Inc. 1 Agenda Fixed-point concepts Introducing Fixed-Point Designer Overview

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Chapter 9: Integration of Full ASIP and its FPGA Implementation Chapter 9: Integration of Full ASIP and its FPGA Implementation 9.1 Introduction A top-level module has been created for the ASIP in VHDL in which all the blocks have been instantiated at the Register

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

design cycle involving simulation, synthesis

design cycle involving simulation, synthesis HDLPlanner : Design Development Environment for HDL-based FPGA Designs Abstract Rapid prototyping of designs using FPGAs requires HDL-based design entry which leverages upon highly parameterized components

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description Features Comprehensive CPLD/PLD Design Environment User-friendly Microsoft Windows Interface (Win 95, Win 98, Win NT) Powerful Project Navigator Utilizes Intelligent Device Fitters for Automatic Logic

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

FPGA ADVANTAGE FOR HDL DESIGN

FPGA ADVANTAGE FOR HDL DESIGN FPGA ADVANTAGE FOR HDL DESIGN A STREAMLINED S OLUTION FOR FPGA DESIGN The FPGA Advantage Design Solution gives you smooth data transition from one step of your design process to the next. All steps are

More information

DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS

DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS Design Creation & Synthesis White Paper DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS May 2009 ABSTRACT FPGA prototyping has become indispensable for functional verification and early software integration

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Chapter 1 Overview of Digital Systems Design

Chapter 1 Overview of Digital Systems Design Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017 Why Digital Design? Many times, microcontrollers

More information

Boost FPGA Prototype Productivity by 10x

Boost FPGA Prototype Productivity by 10x Boost FPGA Prototype Productivity by 10x Introduction Modern ASICs have become massively complex due in part to the growing adoption of system on chip (SoC) development methodologies. With this growing

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Integrated Circuit ORB (ICO) White Paper V1.1

Integrated Circuit ORB (ICO) White Paper V1.1 Integrated Circuit (ICO) White Paper V1.1 F. Humcke and D. Paniscotti PrismTech Corporation SYNOPSIS This white paper presents a detailed overview of PrismTech s Integrated Circuit (ICO) and describes

More information

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Configurable s for SOC Design Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Why Listen to This Presentation? Understand how SOC design techniques, now nearly 20 years old, are

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

CAN on Integration Technologies

CAN on Integration Technologies CAN on Integration Technologies CAN technology has reached the mature state where the powerful network technology is well covered by standard parts; mainly processors with integrated CAN periphery. Nevertheless

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Design Process. in an embedded system. Kasper Ornstein Mecklenburg SW/HW development engineer Arm Limited

Design Process. in an embedded system. Kasper Ornstein Mecklenburg SW/HW development engineer Arm Limited Design Process in an embedded system Kasper Ornstein Mecklenburg SW/HW development engineer Arm in Lund Two offices; one at Ideon and one downtown (old Mistbase) Graphics, video and wireless SW, digital

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

S1V30080 Series I2C Interface Sample Program Specifications

S1V30080 Series I2C Interface Sample Program Specifications S1V30080 Series I2C Interface Sample Program Specifications Rev.1.00 NOTICE No part of this material may be reproduced or duplicated in any form or by any means without the written permission of Seiko

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design ECE 1160/2160 Embedded Systems Design Midterm Review Wei Gao ECE 1160/2160 Embedded Systems Design 1 Midterm Exam When: next Monday (10/16) 4:30-5:45pm Where: Benedum G26 15% of your final grade What about:

More information

Experience with the NetFPGA Program

Experience with the NetFPGA Program Experience with the NetFPGA Program John W. Lockwood Algo-Logic Systems Algo-Logic.com With input from the Stanford University NetFPGA Group & Xilinx XUP Program Sunday, February 21, 2010 FPGA-2010 Pre-Conference

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor Volume 2 Issue 1 March 2014 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org New Approach for Affine Combination of A New Architecture

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

FPGAs: Instant Access

FPGAs: Instant Access FPGAs: Instant Access Clive"Max"Maxfield AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO % ELSEVIER Newnes is an imprint of Elsevier Newnes Contents

More information

Techniques to Disable Global Interrupts

Techniques to Disable Global Interrupts Techniques to Disable Global Interrupts AN576 This application brief discusses four methods for disabling global interrupts. The method best suited for the application may then be used. All discussion

More information

Xtensa 7 Configurable Processor Core

Xtensa 7 Configurable Processor Core FEATURES 32-bit synthesizable RISC architecture with 5-stage pipeline, 16/24-bit instruction encoding with modeless switching Designer-configurable processor options (MMU/MPU, local memory types and sizes,

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

Amplifier for versatile fiber-optic links

Amplifier for versatile fiber-optic links Amplifier for Versatile Fiber-Optic Links Application Specification Author Document Number Revision 1 A.1 Amplifier for versatile fiber-optic links Suitable for extending the optical transmission distance

More information

LAN Magnetics Solution for 1000BASE-T Evaluation Board TDK Part number: ALT4532-EVA-01

LAN Magnetics Solution for 1000BASE-T Evaluation Board TDK Part number: ALT4532-EVA-01 LAN Magnetics Solution for 1000BASE-T Evaluation Board TDK Part number: ALT4532-EVA-01 Contents PCB area reduction from typical module to discrete placement Typical module TDK discrete placement 17.53

More information

Multicore Computing and Scientific Discovery

Multicore Computing and Scientific Discovery scientific infrastructure Multicore Computing and Scientific Discovery James Larus Dennis Gannon Microsoft Research In the past half century, parallel computers, parallel computation, and scientific research

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning Lecture 8: Synthesis, Implementation Constraints and High-Level Planning MAH, AEN EE271 Lecture 8 1 Overview Reading Synopsys Verilog Guide WE 6.3.5-6.3.6 (gate array, standard cells) Introduction We have

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

Marvell. Moving Forward Faster. Marvell RAID Utility. User Guide

Marvell. Moving Forward Faster. Marvell RAID Utility. User Guide Marvell. Moving Forward Faster. Marvell RAID Utility User Guide December 6, 2006 ii No part of this document may be reproduced or transmitted in any form or by any means, electronic or mechanical, including

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

Cybernet Manufacturing

Cybernet Manufacturing When Reliability Matters Cybernet Manufacturing When Reliability Matters All in One Computers & Tablets Built with Military Grade Components for Reliability & Durability Medical Grade Computers & Tablets

More information

Functional Programming in Hardware Design

Functional Programming in Hardware Design Functional Programming in Hardware Design Tomasz Wegrzanowski Saarland University Tomasz.Wegrzanowski@gmail.com 1 Introduction According to the Moore s law, hardware complexity grows exponentially, doubling

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT THE FREE AND OPEN RISC INSTRUCTION SET ARCHITECTURE Codasip is the leading provider of RISC-V processor IP Codasip Bk: A portfolio of RISC-V processors Uniquely

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

S1V3G340 External SPI-Flash Select Guide

S1V3G340 External SPI-Flash Select Guide S1V3G340 External SPI-Flash Select Guide Rev.1.00 NOTICE No part of this material may be reproduced or duplicated in any form or by any means without the written permission of Seiko Epson. Seiko Epson

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

S5U1C31D50T1 Manual (S1C31D50 Evaluation Board)

S5U1C31D50T1 Manual (S1C31D50 Evaluation Board) CMOS 32-BIT SINGLE CHIP MICROCONTROLLER S5U1C31D50T1 Manual (S1C31D50 Evaluation Board) Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool

More information

VLSI Test System. The Most Cost Effective Solution for Next Generation Cost Sensitive Devices

VLSI Test System. The Most Cost Effective Solution for Next Generation Cost Sensitive Devices VLSI Test System The Most Cost Effective Solution for Next Generation Cost Sensitive Devices W o r k i n g o n B e t t e r s o l u t i o n s Chroma 3600 VLSI Test System We provide the solutions for testing

More information

A Method To Derive Application-Specific Embedded Processing Cores Olivier Hébert 1, Ivan C. Kraljic 2, Yvon Savaria 1,2 1

A Method To Derive Application-Specific Embedded Processing Cores Olivier Hébert 1, Ivan C. Kraljic 2, Yvon Savaria 1,2 1 A Method To Derive Application-Specific Embedded Processing Cores Olivier Hébert 1, Ivan C. Kraljic 2, Yvon Savaria 1,2 1 Electrical and Computer Engineering Dept. École Polytechnique de Montréal, Montréal,

More information

ADPCM-LCO Voice Compression Logic Core

ADPCM-LCO Voice Compression Logic Core ADPCM-LCO Voice Compression Logic Core Functional Description The ADPCM-LCO logic core [Adaptive Differential Pulse Code Modulation-Low Channel count Optimized] is a peripheral for digital voice compression/de-compression

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Agilent 8960 Wireless Test Set Ensures the Success of Your Wireless Products

Agilent 8960 Wireless Test Set Ensures the Success of Your Wireless Products The flexible solution for wireless device development, manufacturing, and repair Agilent 8960 Wireless Test Set Ensures the Success of Your Wireless Products Wireless solutions for development, manufacturing,

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices Instructor: Professor Jim Plusquellic Programmable Logic Devices Text: The Design Warrior s Guide to FPGAs, Devices, Tools and Flows, Clive "Max" Maxfield, ISBN: 0-7506-7604-3 Modeling, Synthesis and Rapid

More information

FIGURE 1 - TABLE READ

FIGURE 1 - TABLE READ Implementing Table Read and Table Write AN548 INTRODUCTION This application brief discusses how to read data from program memory to data memory and write data from data memory to program memory. RETLW

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information