The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

Size: px
Start display at page:

Download "The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL"

Transcription

1 The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Spring 2019 Lab #7: A Basic Datapath; and a Sprite-Based Display Issued Fri 3/1/19; Due Mn 3/25/19 (1:25pm, befre class) This lab assignment cnsists f several steps, each building upn the previus. Detailed instructins are prvided. Verilg cde is prvided fr almst all f the designs, but sme prtins f the cde have been erased; in thse cases, it is yur task t cmplete and test yur cde carefully. Submissin instructins are at the end. Yu will learn the fllwing: Specifying memries in SystemVerilg Initializing memries Designing a multi-prted memry (3-prt register file) Integrating ALU, registers, etc., t frm a datapath Part 0: Understand hw memries are specified in SystemVerilg Memry Specificatin A typical single-prted RAM mdule is described in SystemVerilg as shwn in the file ram.sv. The number f prts in a memry is the number f distinct read/write peratins that can be perfrmed cncurrently. Thus, the number f prts typically is the number f distinct memry addresses that can be prvided t the memry. A single-prted RAM takes a single address as input, and can perfrm a read r write (determined by a write enable signal) t that address. Belw is the main part f a memry specificatin: lgic [Dbits-1:0] mem [Nlc-1:0]; clck) if(wr) mem[addr] <= din; assign dut = mem[addr]; // The actual strage where data resides // Memry write ccurs n clck tick // but nly if write is enabled // Memry read ccurs asynchrnusly Please refer t ram.sv fr the cmplete descriptin f the RAM mdule. Memry Initializatin An uninitialized memry mdule cntains junk (i.e., undefined values), althugh typically yur bard will initialize it t all zers (r nes). Yu can, hwever, specify the actual values t be stred in memry upn initializatin. This is dne using the cmmand $readmemh r $readmemb. The frmer cmmand allws yu t specify values in a file in hex frmat, while the latter uses binary frmat. Add the fllwing line in the register file mdule right after the line where the cre f the strage is specified (i.e., right after lgic [Dbits-1 : 0] mem [Nlc-1 : 0]; ): initial $readmemh( mem_data.mem, mem, 0, Nlc-1); 1 f 7

2 (Remember t put the initializatin line after the declaratin f the lgic type mem, and remember t replace mem with the actual name f yur memry strage.) The first argument t $readmemh is a string that is the name f the file t be read, line by line, during cmpilatin and synthesis, and its cntents are used t initialize memry values. The secnd argument is the name f the variable that is the memry strage. The last tw arguments specify the range f memry lcatins. In this case, they start with 0, and g up t Nlc-1, but yu are welcme t specify a subset f the range if yu d nt have data t initialize the entire memry. Create the file mem_data.mem in the prject flder (using an external editr), and add it t yur prject using Add Surce and selecting its type as Memry File. Add values, ne per line, in hex. D nt prefix each value by h. Thus, if yur memry has 8-bit data, yur initializatin file may lk like this: 05 // Cmments are allwed A0 C1... Yu can als use the binary versin f the initializatin ($readmemb instead f $readmemh). In that case, the file will have a sequence f binary values, ne per line (n b befre thugh): 0000_0101 // Underscre can be used fr clarity 1010_ _0001 Remember that if yur datapath uses 32 bits, then the initializatin values in mem_data.mem will have t be 32-bit values as well. IMPORTANT: Yu must select the type f the file cntaining memry values as Memry File. Otherwise, the tl may nt be able t access it prperly. If yu did nt chse the crrect file type, yu can right-click and change the file type. 2 f 7

3 Part 1: Register File Tday yu begin t implement the MIPS prcessr that we will use fr the final prject. Yu will implement the prtin f the CPU datapath shwn in the fllwing diagram, and test it. Hwever, since we d nt yet have a surce fr instructins, and t aid in testing, we will slightly mdify this part f the datapath t prvide mre cntrllability and visibility. In particular, we will cut the feedback frm the ALU t the write prt f the register file, and instead allw Write data t be directly supplied by a test fixture. A mdified picture is shwn n the next page. First, yu will design a 3-prt register file. We call it 3-prt because three different addresses can be specified at any time: Read Address 1, Read Address 2, and Write Addr. These are required t access (up t) tw surce perands and ne destinatin perand required fr MIPS instructins. D the fllwing: Start with the skeletn fr a register file prvided n the website (register_file.sv), and cmpare it t a typical RAM mdule (file ram.sv). The register file differs frm the RAM memry in the fllwing respects: three address inputs instead f just ne (e.g., ReadAddr1, ReadAddr2 and WriteAddr). tw data utputs instead f just ne (e.g., ReadData1 and ReadData2). the write enable and clck stay the same. when writing, WriteAddr is used t determine the memry lcatin t be written. when reading register 0, the value read shuld always be 0 (it des nt matter what value is written t it, r whether yu write any values int it). Be sure t use parameters fr number f memry lcatins (Nlc), number f data bits (Dbits), and the name f the file that cntains initializatin values (initfile). While in the final CPU design, the three addresses will cme frm the register fields in the instructin being executed, fr nw yu will use a Verilg test fixture (in Part 2) t prvide these addresses, the data t be written, and the RegWrite signal. The test fixture des a few different reads and writes s yu can see via simulatin that yur register file is wrking. X Flags NOTE: We will NOT implement the datapath f this figure in this part. See figure n next page. 3 f 7

4 Part 2: Putting the datapath tgether Design a tp-level mdule that cntains the register file and yur ALU (frm Lab 2). Name the Verilg surce datapath.sv. This mdule must exactly crrespnd t the blck diagram belw. Nte the fllwing: T aid in testing yur design, send ReadData1, ReadData2 and ALUResult t the utput f the tp-level mdule s they can be easily bserved during simulatin. The Zer flag (Z) must als be generated as an utput frm the tp-level mdule (because branch instructins will need it). Fr nw, d nt feed the ALU result back t the register file. Instead, the data t be written int the register file shuld cme in directly frm the test fixture as an input t the tp-level mdule. The inputs t the tp-level mdule are: clck, RegWrite, the three addresses, the ALU peratin t be perfrmed (ALUFN), and the data t be written int the register file (WriteData). Use the Verilg test fixture prvided n the website t simulate and test yur design. The text fixture is self-checking, s any errrs will be flagged autmatically. Please use exactly the same names fr the tp-level inputs and utputs as used in the tester where the unit under test is instantiated. 4 f 7

5 Part 3: Design a full sprite-based display unit ( Terminal Display ) Yu will build upn yur VGA display driver frm Lab 4 t make it a full-fledged character-riented terminal display. The blck diagram belw shws yur design frm Lab 4: This earlier design simply generated a fixed pattern t shw n the display (e.g., lines, checkerbard pattern, etc.). In this assignment, yu will extend it t display a 2-D grid f characters (r sprites ), as shwn in the blck diagram belw. 5 f 7

6 The characters t display are assigned cdes, and these cdes are stred in an array in a special memry called screen memry. The array is stred rw-wise (rw 0 first, then rw 1, etc.), and left-t-right within each rw. Let us set the size f each character at 16x16 pixels. If the screen size is 640x480 pixels, then each rw will have 40 characters, and there will be 30 rws. S, yur screen memry will need t have 1200 lcatins. There is als anther memry, a read-nly ne, called bitmap memry, which stres the pixel pattern fr each f the characters yu implement. Since each character is a blck f 16x16 pixels, and each pixel has a 12-bit RGB clr, the bitmap memry therefre shuld have 256 values (each 12-bit) stred fr each character we implement. If yur final applicatin needs 16 different characters, then yur bitmap memry will have 256 x 16 = 4096 values f 12 bits each. Fr nw, let us implement 4 characters, t keep things simple. Nte: There is n CPU in this picture yet. Study the blck diagram carefully. Make a tp-level mdule called tp, which cntains vgadisplaydriver (in a file named vgadisplaydriver.sv) and screenmem (in file screenmem.sv). The VGA display driver in turn cntains tw submdules: VGA Timer and Bitmap Memry. Nte the fllwing: VGA timer: Yu designed this mdule in Lab 4. It supprts a display reslutin f 640x480. All f ur design decisins will be based n this reslutin. Screen memry: This memry cntains a linear sequence f values, each representing the cde fr a character. These are cdes yu assign t sme special characters (e.g., different clred blcks, r different emji, etc.). The number f bits in each cde will be determined by the number f characters used. Fr instance, if yu want t display 32 unique characters, yu will need a cde with 5 bits (yur cdes wuld run frm 5 b00000 t 5 b11111). It is best t parameterize this number. The number f bits needed fr the screen address will depend n the ttal number f characters displayed n the screen. Since ur screen has 1200 characters, we will need a screen address f 11 bits, with addresses in the range 0 t Nte: Each lcatin in this memry shuld represent a single character s cde. Bitmap memry: This memry is indexed by the character cde, and stres the bitmap r fnt infrmatin fr that character. In particular, each character is a 2-dimensinal matrix f RGB values, stred in a linear sequence. Since ur characters are 16x16 square bxes f pixels, yu will stre the 12-bit RGB value fr the (0,0) pixel fr that character first, then (0,1), and s n until the end f the tp rw, then the secnd rw, etc. Thus, there will be 256 clr values (each 12-bit in length) stred fr each character. Nte: Each lcatin in this memry shuld represent a single pixel s clr value. Keep the fllwing pints in mind as yu d this assignment: Start with a ttal f nly 4 characters. If yur design wrks fine, yu are welcme t add mre characters (as many as yu think yu might need t d an interesting dem!). Yu may have t think a bit int yur final dem here, but dn t wrry, nce yu have the basic design wrking, it wn t be t hard t cme back and add mre characters and bitmaps t it later. Initialize the screen memry frm a file using the $readmemh instructin. Yu shuld have the entire screen initialized in this file; therwise there may be junk character cdes in the part f the screen left uninitialized. This file will be lng (e.g., 1200 lines if yur screen has 40 clumns x 30 rws). Initialize the bitmap memry frm a file using the $readmemh (r perhaps $readmemb may be mre cnvenient here). Fr characters that are 16x16 pixels, each will require 256 clr values t be stred in this memry. Start with a small number f characters (say, 4), then increase the number. This file can get lng! 6 f 7

7 The main challenge in this lab assignment is t instantiate the tw memry units, and t wire everything up tgether. This is a gd exercise in hierarchical design. That is the reasn I will nt be prviding a Verilg cde skeletn. The key challenge t designing this system is t figure ut the fllwing mappings: The mapping frm the (x,y) pixel crdinates generated by the VGA Timing Generatr, t the (J, K) character crdinates that that pixel maps t in Screen Memry. The mapping frm (J, K) character crdinates t the address in Screen Memry. The mapping frm the character cde that the Screen Memry gives yu, t the start lcatin f the bitmap stred fr that character in the Bitmap Memry. The mapping frm the (x,y) pixel crdinates generated by the VGA Timing Generatr, t the ffset within the bitmap fr that character in the Bitmap Memry. Start small s things are easier t debug. Implement yur design n the bard. Yu shuld see the pattern described by the values in the screen memry and the bitmap memry (alternating red and green vertical bars). What t submit: [Part 2] Yur Verilg surce fr the register file (register_file.sv) and datapath (datapath.sv). A screensht f the simulatin wavefrm windw fr Part 2 using the self-checking tester. [Part 3] Yur Verilg surce f the tp-level display unit (vgadisplaydriver.sv). This file shuld cntain the Verilg descriptin f the three cmbinatinal lgic blcks fr generating RGB values fr each pixel. A picture (phne pht is fine) f the mnitr fr Part 3 implementatin. Hw t submit: Please submit yur wrk by by 1:25pm, Mar 25 (Mn) as fllws: Send t: cmp541-submit-s19@cs.unc.edu Use subject line: Lab 7 Include the attachments as specified abve 7 f 7

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Fall 2016 Lab #8: A Basic Datapath and Cntrl Unit Issued Wed 10/12/16; Due Wed 10/26/16 (11:59pm)

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Designing a mdule with multiple memries Designing and using a bitmap fnt Designing a memry-mapped display Cmp 541 Digital

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Spring 2016 Lab Prject (PART A): A Full Cmputer! Issued Fri 4/8/16; Suggested

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Fall 2017 Lab #8: A Full Single-Cycle MIPS Prcessr Issued

More information

Using CppSim to Generate Neural Network Modules in Simulink using the simulink_neural_net_gen command

Using CppSim to Generate Neural Network Modules in Simulink using the simulink_neural_net_gen command Using CppSim t Generate Neural Netwrk Mdules in Simulink using the simulink_neural_net_gen cmmand Michael H. Perrtt http://www.cppsim.cm June 24, 2008 Cpyright 2008 by Michael H. Perrtt All rights reserved.

More information

Project #1 - Fraction Calculator

Project #1 - Fraction Calculator AP Cmputer Science Liberty High Schl Prject #1 - Fractin Calculatr Students will implement a basic calculatr that handles fractins. 1. Required Behavir and Grading Scheme (100 pints ttal) Criteria Pints

More information

LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C

LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C Due: July 9 (Sun) 11:59 pm 1. Prblem A Subject: Structure declaratin, initializatin and assignment. Structure

More information

Integrating QuickBooks with TimePro

Integrating QuickBooks with TimePro Integrating QuickBks with TimePr With TimePr s QuickBks Integratin Mdule, yu can imprt and exprt data between TimePr and QuickBks. Imprting Data frm QuickBks The TimePr QuickBks Imprt Facility allws data

More information

$ARCSIGHT_HOME/current/user/agent/map. The files are named in sequential order such as:

$ARCSIGHT_HOME/current/user/agent/map. The files are named in sequential order such as: Lcatin f the map.x.prperties files $ARCSIGHT_HOME/current/user/agent/map File naming cnventin The files are named in sequential rder such as: Sme examples: 1. map.1.prperties 2. map.2.prperties 3. map.3.prperties

More information

Municode Website Instructions

Municode Website Instructions Municde Website instructins Municde Website Instructins The new and imprved Municde site allws yu t navigate t, print, save, e-mail and link t desired sectins f the Online Cde f Ordinances with greater

More information

COP2800 Homework #3 Assignment Spring 2013

COP2800 Homework #3 Assignment Spring 2013 YOUR NAME: DATE: LAST FOUR DIGITS OF YOUR UF-ID: Please Print Clearly (Blck Letters) YOUR PARTNER S NAME: DATE: LAST FOUR DIGITS OF PARTNER S UF-ID: Please Print Clearly Date Assigned: 15 February 2013

More information

Using SPLAY Tree s for state-full packet classification

Using SPLAY Tree s for state-full packet classification Curse Prject Using SPLAY Tree s fr state-full packet classificatin 1- What is a Splay Tree? These ntes discuss the splay tree, a frm f self-adjusting search tree in which the amrtized time fr an access,

More information

Programming Project: Building a Web Server

Programming Project: Building a Web Server Prgramming Prject: Building a Web Server Submissin Instructin: Grup prject Submit yur cde thrugh Bb by Dec. 8, 2014 11:59 PM. Yu need t generate a simple index.html page displaying all yur grup members

More information

INSTALLING CCRQINVOICE

INSTALLING CCRQINVOICE INSTALLING CCRQINVOICE Thank yu fr selecting CCRQInvice. This dcument prvides a quick review f hw t install CCRQInvice. Detailed instructins can be fund in the prgram manual. While this may seem like a

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2012 Lab 1 - Calculatr Intrductin In this lab yu will be writing yur first

More information

Lab 0: Compiling, Running, and Debugging

Lab 0: Compiling, Running, and Debugging UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2012 Lab 0: Cmpiling, Running, and Debugging Intrductin Reading This is the

More information

Ascii Art Capstone project in C

Ascii Art Capstone project in C Ascii Art Capstne prject in C CSSE 120 Intrductin t Sftware Develpment (Rbtics) Spring 2010-2011 Hw t begin the Ascii Art prject Page 1 Prceed as fllws, in the rder listed. 1. If yu have nt dne s already,

More information

TRAINING GUIDE. Overview of Lucity Spatial

TRAINING GUIDE. Overview of Lucity Spatial TRAINING GUIDE Overview f Lucity Spatial Overview f Lucity Spatial In this sessin, we ll cver the key cmpnents f Lucity Spatial. Table f Cntents Lucity Spatial... 2 Requirements... 2 Setup... 3 Assign

More information

APPLICATION NOTE. Using DoCD debugger with Keil uvision2/3/4/5 tool. v.4.06

APPLICATION NOTE. Using DoCD debugger with Keil uvision2/3/4/5 tool. v.4.06 APPLICATION NOTE Using DCD debugger with Keil uvisin2/3/4/5 tl v.4.06 Cntents 1. Overview 3 2. Supplied files 3 3. Installatin 3 4. Preparing t wrk with uvisin2/3/4/5 interface 4 5. Debugging an example

More information

These tasks can now be performed by a special program called FTP clients.

These tasks can now be performed by a special program called FTP clients. FTP Cmmander FAQ: Intrductin FTP (File Transfer Prtcl) was first used in Unix systems a lng time ag t cpy and mve shared files. With the develpment f the Internet, FTP became widely used t uplad and dwnlad

More information

Adverse Action Letters

Adverse Action Letters Adverse Actin Letters Setup and Usage Instructins The FRS Adverse Actin Letter mdule was designed t prvide yu with a very elabrate and sphisticated slutin t help autmate and handle all f yur Adverse Actin

More information

Interfacing to MATLAB. You can download the interface developed in this tutorial. It exists as a collection of 3 MATLAB files.

Interfacing to MATLAB. You can download the interface developed in this tutorial. It exists as a collection of 3 MATLAB files. Interfacing t MATLAB Overview: Getting Started Basic Tutrial Interfacing with OCX Installatin GUI with MATLAB's GUIDE First Buttn & Image Mre ActiveX Cntrls Exting the GUI Advanced Tutrial MATLAB Cntrls

More information

Populate and Extract Data from Your Database

Populate and Extract Data from Your Database Ppulate and Extract Data frm Yur Database 1. Overview In this lab, yu will: 1. Check/revise yur data mdel and/r marketing material (hme page cntent) frm last week's lab. Yu will wrk with tw classmates

More information

ROCK-POND REPORTING 2.1

ROCK-POND REPORTING 2.1 ROCK-POND REPORTING 2.1 AUTO-SCHEDULER USER GUIDE Revised n 08/19/2014 OVERVIEW The purpse f this dcument is t describe the prcess in which t fllw t setup the Rck-Pnd Reprting prduct s that users can schedule

More information

Using the Swiftpage Connect List Manager

Using the Swiftpage Connect List Manager Quick Start Guide T: Using the Swiftpage Cnnect List Manager The Swiftpage Cnnect List Manager can be used t imprt yur cntacts, mdify cntact infrmatin, create grups ut f thse cntacts, filter yur cntacts

More information

Date: October User guide. Integration through ONVIF driver. Partner Self-test. Prepared By: Devices & Integrations Team, Milestone Systems

Date: October User guide. Integration through ONVIF driver. Partner Self-test. Prepared By: Devices & Integrations Team, Milestone Systems Date: Octber 2018 User guide Integratin thrugh ONVIF driver. Prepared By: Devices & Integratins Team, Milestne Systems 2 Welcme t the User Guide fr Online Test Tl The aim f this dcument is t prvide guidance

More information

The Reporting Tool. An Overview of HHAeXchange s Reporting Tool

The Reporting Tool. An Overview of HHAeXchange s Reporting Tool HHAeXchange The Reprting Tl An Overview f HHAeXchange s Reprting Tl Cpyright 2017 Hmecare Sftware Slutins, LLC One Curt Square 44th Flr Lng Island City, NY 11101 Phne: (718) 407-4633 Fax: (718) 679-9273

More information

Test Pilot User Guide

Test Pilot User Guide Test Pilt User Guide Adapted frm http://www.clearlearning.cm Accessing Assessments and Surveys Test Pilt assessments and surveys are designed t be delivered t anyne using a standard web brwser and thus

More information

BI Publisher TEMPLATE Tutorial

BI Publisher TEMPLATE Tutorial PepleSft Campus Slutins 9.0 BI Publisher TEMPLATE Tutrial Lessn T2 Create, Frmat and View a Simple Reprt Using an Existing Query with Real Data This tutrial assumes that yu have cmpleted BI Publisher Tutrial:

More information

CS1150 Principles of Computer Science Introduction (Part II)

CS1150 Principles of Computer Science Introduction (Part II) Principles f Cmputer Science Intrductin (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Review Terminlgy Class } Every Java prgram must have at least

More information

Laboratory Exercise 3 Using the PIC18

Laboratory Exercise 3 Using the PIC18 Labratry Exercise 3 Using the PIC18 Until this pint, the user has prgrammed the FPGA Interface Bard using the FTDI and has nt been intrduced t the n bard PIC18F2550 micrcntrller. The purpse f this experiment

More information

Using the Swiftpage Connect List Manager

Using the Swiftpage Connect List Manager Quick Start Guide T: Using the Swiftpage Cnnect List Manager The Swiftpage Cnnect List Manager can be used t imprt yur cntacts, mdify cntact infrmatin, create grups ut f thse cntacts, filter yur cntacts

More information

Campuses that access the SFS nvision Windows-based client need to allow outbound traffic to:

Campuses that access the SFS nvision Windows-based client need to allow outbound traffic to: Summary This dcument is a guide intended t guide yu thrugh the prcess f installing and cnfiguring PepleTls 8.55.27 (r current versin) via Windws Remte Applicatin (App). Remte App allws the end user t run

More information

The Login Page Designer

The Login Page Designer The Lgin Page Designer A new Lgin Page tab is nw available when yu g t Site Cnfiguratin. The purpse f the Admin Lgin Page is t give fundatin staff the pprtunity t build a custm, yet simple, layut fr their

More information

Assignment #5: Rootkit. ECE 650 Fall 2018

Assignment #5: Rootkit. ECE 650 Fall 2018 General Instructins Assignment #5: Rtkit ECE 650 Fall 2018 See curse site fr due date Updated 4/10/2018, changes nted in green 1. Yu will wrk individually n this assignment. 2. The cde fr this assignment

More information

ECE 545 Project Deliverables

ECE 545 Project Deliverables Tp-level flder: _ Secnd-level flders: 1_assumptins 2_blck_diagrams 3_interface 4_ASM_charts 5_surce_cdes 6_verificatin 7_timing_analysis 8_results 9_benchmarking 10_bug_reprts

More information

TRAINING GUIDE. Lucity Mobile

TRAINING GUIDE. Lucity Mobile TRAINING GUIDE The Lucity mbile app gives users the pwer f the Lucity tls while in the field. They can lkup asset infrmatin, review and create wrk rders, create inspectins, and many mre things. This manual

More information

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions Eastern Mediterranean University Schl f Cmputing and Technlgy Infrmatin Technlgy Lecture2 Functins User Defined Functins Why d we need functins? T make yur prgram readable and rganized T reduce repeated

More information

Gmail and Google Drive for Rutherford County Master Gardeners

Gmail and Google Drive for Rutherford County Master Gardeners Gmail and Ggle Drive fr Rutherfrd Cunty Master Gardeners Gmail Create a Ggle Gmail accunt. https://www.yutube.cm/watch?v=kxbii2dprmc&t=76s (Hw t Create a Gmail Accunt 2014 by Ansn Alexander is a great

More information

Homework: Populate and Extract Data from Your Database

Homework: Populate and Extract Data from Your Database Hmewrk: Ppulate and Extract Data frm Yur Database 1. Overview In this hmewrk, yu will: 1. Check/revise yur data mdel and/r marketing material frm last week's hmewrk- this material will later becme the

More information

It has hardware. It has application software.

It has hardware. It has application software. Q.1 What is System? Explain with an example A system is an arrangement in which all its unit assemble wrk tgether accrding t a set f rules. It can als be defined as a way f wrking, rganizing r ding ne

More information

TN How to configure servers to use Optimise2 (ERO) when using Oracle

TN How to configure servers to use Optimise2 (ERO) when using Oracle TN 1498843- Hw t cnfigure servers t use Optimise2 (ERO) when using Oracle Overview Enhanced Reprting Optimisatin (als knwn as ERO and Optimise2 ) is a feature f Cntrller which is t speed up certain types

More information

Copyrights and Trademarks

Copyrights and Trademarks Cpyrights and Trademarks Sage One Accunting Cnversin Manual 1 Cpyrights and Trademarks Cpyrights and Trademarks Cpyrights and Trademarks Cpyright 2002-2014 by Us. We hereby acknwledge the cpyrights and

More information

UiPath Automation. Walkthrough. Walkthrough Calculate Client Security Hash

UiPath Automation. Walkthrough. Walkthrough Calculate Client Security Hash UiPath Autmatin Walkthrugh Walkthrugh Calculate Client Security Hash Walkthrugh Calculate Client Security Hash Start with the REFramewrk template. We start ff with a simple implementatin t demnstrate the

More information

Creating a TES Encounter/Transaction Entry Batch

Creating a TES Encounter/Transaction Entry Batch Creating a TES Encunter/Transactin Entry Batch Overview Intrductin This mdule fcuses n hw t create batches fr transactin entry in TES. Charges (transactins) are entered int the system in grups called batches.

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2013 Lab 1 - Calculatr Intrductin Reading Cncepts In this lab yu will be

More information

USER MANUAL. RoomWizard Administrative Console

USER MANUAL. RoomWizard Administrative Console USER MANUAL RmWizard Administrative Cnsle Cntents Welcme... 3 Administer yur RmWizards frm ne lcatin... 3 Abut This Manual... 4 Setup f the Administrative Cnsle... 4 Installatin... 4 The Cnsle Windw...

More information

FIT 100. Lab 10: Creating the What s Your Sign, Dude? Application Spring 2002

FIT 100. Lab 10: Creating the What s Your Sign, Dude? Application Spring 2002 FIT 100 Lab 10: Creating the What s Yur Sign, Dude? Applicatin Spring 2002 1. Creating the Interface fr SignFinder:... 1 2. Creating Variables t hld values... 4 3. Assigning Values t Variables... 4 4.

More information

UiPath Automation. Walkthrough. Walkthrough Calculate Client Security Hash

UiPath Automation. Walkthrough. Walkthrough Calculate Client Security Hash UiPath Autmatin Walkthrugh Walkthrugh Calculate Client Security Hash Walkthrugh Calculate Client Security Hash Start with the REFramewrk template. We start ff with a simple implementatin t demnstrate the

More information

REFWORKS: STEP-BY-STEP HURST LIBRARY NORTHWEST UNIVERSITY

REFWORKS: STEP-BY-STEP HURST LIBRARY NORTHWEST UNIVERSITY REFWORKS: STEP-BY-STEP HURST LIBRARY NORTHWEST UNIVERSITY Accessing RefWrks Access RefWrks frm a link in the Bibligraphy/Citatin sectin f the Hurst Library web page (http://library.nrthwestu.edu) Create

More information

Whitepaper. Migrating External Specs to AutoCAD Plant 3D. Set Up the Required Folder Structure. Migrating External Specs to AutoCAD Plant 3D

Whitepaper. Migrating External Specs to AutoCAD Plant 3D. Set Up the Required Folder Structure. Migrating External Specs to AutoCAD Plant 3D Whitepaper The wrkflw fr migrating specs frm 3 rd -party sftware packages t AutCAD Plant 3D is as fllws: Set Up the Required Flder Structure Build CSV Files Cntaining Part Infrmatin Map External Parts

More information

CLIC ADMIN USER S GUIDE

CLIC ADMIN USER S GUIDE With CLiC (Classrm In Cntext), teaching and classrm instructin becmes interactive, persnalized, and fcused. This digital-based curriculum, designed by Gale, is flexible allwing teachers t make their classrm

More information

ClassFlow Administrator User Guide

ClassFlow Administrator User Guide ClassFlw Administratr User Guide ClassFlw User Engagement Team April 2017 www.classflw.cm 1 Cntents Overview... 3 User Management... 3 Manual Entry via the User Management Page... 4 Creating Individual

More information

3 AXIS STAGE CONTROLLER

3 AXIS STAGE CONTROLLER CORTEX CONTROLLERS 50, St Stephen s Pl. Cambridge CB3 0JE Telephne +44(0)1223 368000 Fax +44(0)1223 462800 http://www.crtexcntrllers.cm sales@crtexcntrllers.cm 3 AXIS STAGE CONTROLLER Instructin Manual

More information

USO RESTRITO. SNMP Agent. Functional Description and Specifications Version: 1.1 March 20, 2015

USO RESTRITO. SNMP Agent. Functional Description and Specifications Version: 1.1 March 20, 2015 Functinal Descriptin and Specificatins Versin: 1.1 March 20, 2015 SNMP Agent Simple Netwrk Management Prtcl Optin S fr IE and PM Mdules Supplement t Functinal Descriptin and Specificatins f RUB Ethernet

More information

MySqlWorkbench Tutorial: Creating Related Database Tables

MySqlWorkbench Tutorial: Creating Related Database Tables MySqlWrkbench Tutrial: Creating Related Database Tables (Primary Keys, Freign Keys, Jining Data) Cntents 1. Overview 2 2. Befre Yu Start 2 3. Cnnect t MySql using MySqlWrkbench 2 4. Create Tables web_user

More information

Lesson 4 Advanced Transforms

Lesson 4 Advanced Transforms Lessn 4 Advanced Transfrms Chapter 4B Extract, Split and replace 10 Minutes Chapter Gals In this Chapter, yu will: Understand hw t use the fllwing transfrms: Replace Extract Split Chapter Instructins YOUR

More information

AASHTOWare BrDR Support Center JIRA Getting Started Guide

AASHTOWare BrDR Support Center JIRA Getting Started Guide AASHTOWare BrDR Supprt Center JIRA Getting Started Guide Versin 1.0.0.0 August 2013 Cpyright 1997-2013 by the American Assciatin f State Highway and Transprtatin Officials. 444 Nrth Capital Street, N.

More information

User Guide. ACE Data Source. OnCommand Workflow Automation (WFA) Abstract PROFESSIONAL SERVICES

User Guide. ACE Data Source. OnCommand Workflow Automation (WFA) Abstract PROFESSIONAL SERVICES PROFESSIONAL SERVICES User Guide OnCmmand Wrkflw Autmatin (WFA) ACE Data Surce Prepared fr: ACE Data Surce - Versin 2.0.0 Date: Octber 2015 Dcument Versin: 2.0.0 Abstract The ACE Data Surce (ACE-DS) is

More information

Qualtrics Instructions

Qualtrics Instructions Create a Survey/Prject G t the Ursinus Cllege hmepage and click n Faculty and Staff. Click n Qualtrics. Lgin t Qualtrics using yur Ursinus username and passwrd. Click n +Create Prject. Chse Research Cre.

More information

MyUni Adding Content. Date: 29 May 2014 TRIM Reference: D2013/ Version: 1

MyUni Adding Content. Date: 29 May 2014 TRIM Reference: D2013/ Version: 1 Adding Cntent MyUni... 2 Cntent Areas... 2 Curse Design... 2 Sample Curse Design... 2 Build cntent by creating a flder... 3 Build cntent by creating an item... 4 Cpy r mve cntent in MyUni... 5 Manage files

More information

Preparation: Follow the instructions on the course website to install Java JDK and jgrasp on your laptop.

Preparation: Follow the instructions on the course website to install Java JDK and jgrasp on your laptop. Lab 1 Name: Checked: (instructr r TA initials) Objectives: Learn abut jgrasp - the prgramming envirnment that we will be using (IDE) Cmpile and run a Java prgram Understand the relatinship between a Java

More information

Secure File Transfer Protocol (SFTP) Interface for Data Intake User Guide

Secure File Transfer Protocol (SFTP) Interface for Data Intake User Guide Secure File Transfer Prtcl (SFTP) Interface fr Data Intake User Guide Cntents Descriptin... 2 Steps fr firms new t batch submissin... 2 Acquiring necessary FINRA accunts... 2 SFTP Access t FINRA... 2 SFTP

More information

Assignment 10: Transaction Simulation & Crash Recovery

Assignment 10: Transaction Simulation & Crash Recovery Database Systems Instructr: Ha-Hua Chu Fall Semester, 2004 Assignment 10: Transactin Simulatin & Crash Recvery Deadline: 23:59 Jan. 5 (Wednesday), 2005 This is a grup assignment, and at mst 2 students

More information

Xilinx Answer Xilinx PCI Express DMA Drivers and Software Guide

Xilinx Answer Xilinx PCI Express DMA Drivers and Software Guide Xilinx Answer 65444 Xilinx PCI Express DMA Drivers and Sftware Guide Imprtant Nte: This dwnladable PDF f an Answer Recrd is prvided t enhance its usability and readability. It is imprtant t nte that Answer

More information

OASIS SUBMISSIONS FOR FLORIDA: SYSTEM FUNCTIONS

OASIS SUBMISSIONS FOR FLORIDA: SYSTEM FUNCTIONS OASIS SUBMISSIONS FOR FLORIDA: SYSTEM FUNCTIONS OASIS SYSTEM FUNCTIONS... 2 ESTABLISHING THE COMMUNICATION CONNECTION... 2 ACCESSING THE OASIS SYSTEM... 3 SUBMITTING OASIS DATA FILES... 5 OASIS INITIAL

More information

Dashboard Extension for Enterprise Architect

Dashboard Extension for Enterprise Architect Dashbard Extensin fr Enterprise Architect Dashbard Extensin fr Enterprise Architect... 1 Disclaimer... 2 Dependencies... 2 Overview... 2 Limitatins f the free versin f the extensin... 3 Example Dashbard

More information

Reading and writing data in files

Reading and writing data in files Reading and writing data in files It is ften very useful t stre data in a file n disk fr later reference. But hw des ne put it there, and hw des ne read it back? Each prgramming language has its wn peculiar

More information

Knowledgeware Rule-based Clash

Knowledgeware Rule-based Clash Knwledgeware Rule-based Clash Clash rules written using knwledgeware capabilities can be used in a standalne clash prcess clash prcess, ensuring clash analyses take crprate practices int accunt. Multiple

More information

Sircon User Guide A Guide to Using the Vertafore Sircon Self-Service Portal

Sircon User Guide A Guide to Using the Vertafore Sircon Self-Service Portal Sircn User Guide A Guide t Using the Vertafre Sircn Self-Service Prtal September 2016 Versin 16.8 Cntents Cntents Using the Vertafre Sircn Self-Service Prtal... 3 Lg In... 3 Hme Page... 4 Lg New Cases...

More information

Announcing Veco AuditMate from Eurolink Technology Ltd

Announcing Veco AuditMate from Eurolink Technology Ltd Vec AuditMate Annuncing Vec AuditMate frm Eurlink Technlgy Ltd Recrd any data changes t any SQL Server database frm any applicatin Database audit trails (recrding changes t data) are ften a requirement

More information

1 Getting and Extracting the Upgrader

1 Getting and Extracting the Upgrader Hughes BGAN-X 9202 Upgrader User Guide (Mac) Rev 1.0 (23-Feb-12) This dcument explains hw t use the Hughes BGAN Upgrader prgram fr the 9202 User Terminal using a Mac Nte: Mac OS X Versin 10.4 r newer is

More information

Proper Document Usage and Document Distribution. TIP! How to Use the Guide. Managing the News Page

Proper Document Usage and Document Distribution. TIP! How to Use the Guide. Managing the News Page Managing the News Page TABLE OF CONTENTS: The News Page Key Infrmatin Area fr Members... 2 Newsletter Articles... 3 Adding Newsletter as Individual Articles... 3 Adding a Newsletter Created Externally...

More information

istartsmart 3.5 Upgrade - Installation Instructions

istartsmart 3.5 Upgrade - Installation Instructions istartsmart 3.5 Upgrade - Installatin Instructins Minimum System Requirements: Hatch All-In-One istartsmart Cmputer Learning Center v1.0 r v1.1 Internet access - either hard-wired r wireless cnnectin is

More information

Managing Your Access To The Open Banking Directory How To Guide

Managing Your Access To The Open Banking Directory How To Guide Managing Yur Access T The Open Banking Directry Hw T Guide Date: June 2018 Versin: v2.0 Classificatin: PUBLIC OPEN BANKING LIMITED 2018 Page 1 f 32 Cntents 1. Intrductin 3 2. Signing Up 4 3. Lgging In

More information

CASAVA Release Notes

CASAVA Release Notes CASAVA Release Ntes CASAVA 1.8.2 Release Ntes New Features: CASAVA 1.8.2 is the first versin f the sftware package that supprts the Nextera dual indexing prtcl. Single index data cntinue t be supprted

More information

Tips and Tricks in Word 2000 Part II. Presented by Carla Torgerson

Tips and Tricks in Word 2000 Part II. Presented by Carla Torgerson Tips and Tricks in Wrd 2000 Part II Presented by Carla Trgersn (cnt2@psu.edu) 1. using styles Styles are used t create frmatting shrtcuts s yu can create a dcument that has frmatting cnsistency. Fr example,

More information

Quick start guide: Working in Transit NXT with a PPF

Quick start guide: Working in Transit NXT with a PPF Quick start guide: Wrking in Transit NXT with a PPF STAR UK Limited Cntents What is a PPF?... 3 What are language pairs?... 3 Hw d I pen the PPF?... 3 Hw d I translate in Transit NXT?... 6 What is a fuzzy

More information

Because this underlying hardware is dedicated to processing graphics commands, OpenGL drawing is typically very fast.

Because this underlying hardware is dedicated to processing graphics commands, OpenGL drawing is typically very fast. The Open Graphics Library (OpenGL) is used fr visualizing 2D and 3D data. It is a multipurpse pen-standard graphics library that supprts applicatins fr 2D and 3D digital cntent creatin, mechanical and

More information

MediaTek LinkIt Development Platform for RTOS Memory Layout Developer's Guide

MediaTek LinkIt Development Platform for RTOS Memory Layout Developer's Guide MediaTek LinkIt Develpment Platfrm fr RTOS Memry Layut Develper's Guide Versin: 1.1 Release date: 31 March 2016 2015-2016 MediaTek Inc. MediaTek cannt grant yu permissin fr any material that is wned by

More information

Due Date: Lab report is due on Mar 6 (PRA 01) or Mar 7 (PRA 02)

Due Date: Lab report is due on Mar 6 (PRA 01) or Mar 7 (PRA 02) Lab 3 Packet Scheduling Due Date: Lab reprt is due n Mar 6 (PRA 01) r Mar 7 (PRA 02) Teams: This lab may be cmpleted in teams f 2 students (Teams f three r mre are nt permitted. All members receive the

More information

1 Version Spaces. CS 478 Homework 1 SOLUTION

1 Version Spaces. CS 478 Homework 1 SOLUTION CS 478 Hmewrk SOLUTION This is a pssible slutin t the hmewrk, althugh there may be ther crrect respnses t sme f the questins. The questins are repeated in this fnt, while answers are in a mnspaced fnt.

More information

KNX integration for Project Designer

KNX integration for Project Designer KNX integratin fr Prject Designer Intrductin With this KNX integratin t Prject Designer it is pssible t cntrl KNX devices like n/ff, dimming, blinds, scene cntrl etc. This implementatin is intended fr

More information

SmartPass User Guide Page 1 of 50

SmartPass User Guide Page 1 of 50 SmartPass User Guide Table f Cntents Table f Cntents... 2 1. Intrductin... 3 2. Register t SmartPass... 4 2.1 Citizen/Resident registratin... 4 2.1.1 Prerequisites fr Citizen/Resident registratin... 4

More information

Element Creator for Enterprise Architect

Element Creator for Enterprise Architect Element Creatr User Guide Element Creatr fr Enterprise Architect Element Creatr fr Enterprise Architect... 1 Disclaimer... 2 Dependencies... 2 Overview... 2 Limitatins... 3 Installatin... 4 Verifying the

More information

Structure Query Language (SQL)

Structure Query Language (SQL) Structure Query Language (SQL) 1. Intrductin SQL 2. Data Definitin Language (DDL) 3. Data Manipulatin Language ( DML) 4. Data Cntrl Language (DCL) 1 Structured Query Language(SQL) 6.1 Intrductin Structured

More information

Entering an NSERC CCV: Step by Step

Entering an NSERC CCV: Step by Step Entering an NSERC CCV: Step by Step - 2018 G t CCV Lgin Page Nte that usernames and passwrds frm ther NSERC sites wn t wrk n the CCV site. If this is yur first CCV, yu ll need t register: Click n Lgin,

More information

UBC BLOGS NSYNC PLUGIN

UBC BLOGS NSYNC PLUGIN UBC BLOGS NSYNC PLUGIN THE NSYNC 1.1 PLUGIN IN UBC BLOGS ALLOWS YOU TO PERMIT OTHER SITES TO PUSH CONTENT FROM THEIR SITE TO YOUR SITE BY ASSIGNING POSTS TO PRE-DETERMINED CATEGORIES. As shwn belw, psts

More information

Ephorus Integration Kit

Ephorus Integration Kit Ephrus Integratin Kit Authr: Rbin Hildebrand Versin: 2.0 Date: May 9, 2007 Histry Versin Authr Cmment v1.1 Remc Verhef Created. v1.2 Rbin Hildebrand Single Sign On (Remved v1.7). v1.3 Rbin Hildebrand Reprting

More information

Chapter 2 Basic Operations

Chapter 2 Basic Operations Chapter 2 Basic Operatins Lessn B String Operatins 10 Minutes Lab Gals In this Lessn, yu will: Learn hw t use the fllwing Transfrmatins: Set Replace Extract Cuntpattern Split Learn hw t apply certain Transfrmatins

More information

Element Creator for Enterprise Architect

Element Creator for Enterprise Architect Element Creatr User Guide Element Creatr fr Enterprise Architect Element Creatr fr Enterprise Architect... 1 Disclaimer... 2 Dependencies... 2 Overview... 2 Limitatins... 3 Installatin... 4 Verifying the

More information

TaiRox Mail Merge. Running Mail Merge

TaiRox Mail Merge. Running Mail Merge TaiRx Mail Merge TaiRx Mail Merge TaiRx Mail Merge integrates Sage 300 with Micrsft Wrd s mail merge functin. The integratin presents a Sage 300 style interface frm within the Sage 300 desktp. Mail Merge

More information

Mission Antyodaya Android Mobile & Web Application. Frequently Asked Questions

Mission Antyodaya Android Mobile & Web Application. Frequently Asked Questions Missin Antydaya Andrid Mbile & Web Applicatin Frequently Asked Questins Natinal Infrmatics Centre v1.0 Missin Antydaya Mbile & Web Applicatin 1 Intrductin What is the name f Mbile applicatin? Earlier it

More information

Project 4: System Calls 1

Project 4: System Calls 1 CMPT 300 1. Preparatin Prject 4: System Calls 1 T cmplete this assignment, it is vital that yu have carefully cmpleted and understd the cntent in the fllwing guides which are psted n the curse website:

More information

Word 2007 The Ribbon, the Mini toolbar, and the Quick Access Toolbar

Word 2007 The Ribbon, the Mini toolbar, and the Quick Access Toolbar Wrd 2007 The Ribbn, the Mini tlbar, and the Quick Access Tlbar In this practice yu'll get the hang f using the new Ribbn, and yu'll als master the use f the helpful cmpanin tls, the Mini tlbar and the

More information

Your Project Plan and Smartsheet

Your Project Plan and Smartsheet USG Managed Services Yur Prject Plan and Smartsheet Change Management Tlkit Cntents 1.0 Purpse... 3 2.0 Accessing Smartsheet and Yur Prject Plan... 4 2.1 Smartsheet Lgin... 4 2.2 Type f Access... 5 3.0

More information

WorldShip PRE-INSTALLATION INSTRUCTIONS: INSTALLATION INSTRUCTIONS: Window (if available) Install on a Single or Workgroup Workstation

WorldShip PRE-INSTALLATION INSTRUCTIONS: INSTALLATION INSTRUCTIONS: Window (if available) Install on a Single or Workgroup Workstation PRE-INSTALLATION INSTRUCTIONS: This dcument discusses using the WrldShip DVD t install WrldShip. Yu can als install WrldShip frm the Web. G t the fllwing Web page and click the apprpriate dwnlad link:

More information

Summary. Server environment: Subversion 1.4.6

Summary. Server environment: Subversion 1.4.6 Surce Management Tl Server Envirnment Operatin Summary In the e- gvernment standard framewrk, Subversin, an pen surce, is used as the surce management tl fr develpment envirnment. Subversin (SVN, versin

More information

Exercise 4: Working with tabular data Exploring infant mortality in the 1900s

Exercise 4: Working with tabular data Exploring infant mortality in the 1900s Exercise 4: Wrking with tabular data Explring infant mrtality in the 1900s Backgrund Althugh peple tend t think abut GIS as being primarily cncerned with mapping. It is better thught f as a type f database

More information

DS-5 Release Notes. (build 472 dated 2010/04/28 08:33:48 GMT)

DS-5 Release Notes. (build 472 dated 2010/04/28 08:33:48 GMT) DS-5 Release Ntes (build 472 dated 2010/04/28 08:33:48 GMT) Intrductin This is a trial release f Keil Develpment Studi 5 (DS-5). DS-5 cntains tls fr building and debugging C/C++ and ARM assembly language

More information