Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Size: px
Start display at page:

Download "Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog"

Transcription

1 Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics, B. V. Bhoomaraddi College of Engg. and Technology, Hubli, India * Department Of Electronics and Communication Engg.,B. V. Bhoomaraddi College Of Engg. and Technology, Hubli, India. Abstract Most of SoC today are synchronous and operates at single clock or its derivative. In fact, many effective fault detection methods for such system are developed. But in synchronous processors more than 40% of power is consumed by clock, which is used to synchronize the entire system. The complexity of clock routing to various part of system is increasing due to clock skew. Hence major challenges in synchronous design are clock scaling, clock distribution and timing closure. As trend for smaller feature, higher speed and accuracy continues, clock may affect the performance. Idea of this project is to introduce asynchronous method of testing in which communication between blocks will be through handshaking signal. Due to removal of clock, power consumption is reduced to large extend. The fault detection is done with microcode based MBIST using March SS algorithm. New BIST can be designed by just changing instruction in microcode storage without need of redesign of circuitry. Detailed power, time and area overhead analysis is performed for both synchronous and asynchronous system. Index Terms Memory Built In Self Test, March SS Algorithm, Synchronous MBIST, Asynchronous MBIST. I. INTRODUCTION Embedded memories are becoming very vital part of any SoC. According to International Technology Roadmap for Semiconductors (ITRS) recent report, memory cores will occupy around 90% area on chip. As memories become denser, they are more prone to defects and faults are more complex. BIST is technique used to test memories. MBIST is one of the most widely used and cost effective solution as it does not require external test equipment such as ATE, test can run at circuit speed to yield more realistic test time. The fault models in memories include the address decoder fault (AF), stuck-at fault (SAF), Transition fault (TF), stuck open fault (SOF), coupling fault (CF), neighborhood pattern sensitive fault (NPSF), and data retention fault (DRF) [5]. Several BIST schemes have been proposed to test the faults in embedded RAMS [2,3,4].Many March algorithms were developed to detect faults. Out of this, March SS algorithm is used for detecting both static and dynamic faults as it has good fault coverage compared to other algorithms. Recently many synchronous methods are used for memory testing, but study shows that in such systems most of the power consumption is because of efforts required to synchronize the entire system. This paper initiates the idea of testing a memory asynchronously. II. SYNCHRONOUS MBIST The block diagram of the simple BIST controller architecture together with fault diagnosis interface through input MUX shown in Figure 1. The BIST Control Circuitry consists of Clock Generator, Microcode Instruction storage unit, Test Collar circuitry, Multiplexer, Memory under test and comparator for fault diagnosis. A. Clock Generator This is a simple circuit built for analysis purpose hence single master clock is sufficient to derive all the block In case if we want to go for real time system, the same circuit have to be modified with four clocks (a single master clack and three clocks with different frequencies derived from it). And additionally it will contain instruction register and instruction pointer B. Microcode Instruction Storage The microcode is a binary code that consists of a fixed number of bits, each bit specifying a particular data or operation value. As there is no standard in developing a microcode MBIST instruction [7], the microcode instruction fields can be structured by the designer depending on the test pattern algorithm to be used. The microcode instruction developed in this work is coded to denote one operation in a single microword. Thus a five operation March element is made up by five micro-code words. The format of 7-bit microcode MBIST instruction word is as shown in Table 1. Table1. Format of Microcode Instruction Word #1 #2 #3 #4 #5 #6 #7 Valid F0 I0 L0 I/D R/W Data F0 I0 L0 Description Single operation Element I operation of multiple operation Middle operation of multiple Last operation of multiple 351

2 #1Valid #2 F0 #3 I0 #4 L0 #5 I/D #6 R/W #7 Data International Journal of Emerging Technology in Computer Science & Electronics (IJETCSE) Clock Generato r Microcode Instruction Storage Address Generator Data Control Input Multiplexer Memory under test R/W Control Test Collar Comparator Mode Norm Data al/te Add R/W st Figure1. Microcode Based Synchronous MBIST Architecture Fault Pulse A. Test Collar It consists of address generator, data control, r/w control. Address Generator points to the next memory address in MUT, according to the test pattern sequence. It can address the memory in forwards as well as backwards direction. RW Control generates read or write control signal for MUT, depending on relevant microword bits. Data Control generates data to be written to or expected to be read out from the memory location being pointed at by the Address Generator. B. Input Multiplexer It directs the input to memory by switching between test algorithm input and input given externally during the normal mode. The control signal for this multiplexer is also given externally by the user. If it indicates test mode then internally generated test data by BIST controller is given to the memory as input from the Test Collar. In case of Normal mode the memory responds to the external address, data and read/write signals. C. Comparator It is used to compare the output from mux and memory. If both the values are matching it will remain low but if both value differ it will generate a pulse indicating a fault. III. MARCH ALGORITHM The format of 7-bit microcode MBIST instruction word is as shown in Table 1. Its various fields are,bit #1 (=1) indicates a valid microcode instruction, otherwise, it indicates the end of test for BIST Controller. Bits #2, #3 and #4 are used to specify first operation, in-between operation and last operation of a multi-operation March element, interpreted as shown in Figure 6.Bit #5 (=1) notifies that the memory under test (MUT) is to be addressed in decreasing order; else it is accessed in increasing order. Bit #6 (=1) indicates that the test pattern data is to be written into the MUT; else, it is retrieved from the memory under test. Bit #7 (=1) signifies that a byte of 1s is to be generated else byte containing all 0s are generated. The instruction word is so designed so that it can accommodate any existing or future March algorithm. The contents of Instruction storage unit for March SS algorithm are shown in Table 2. Table2. MARCH SS Algorithm M0: ᵡ W M1: { R R W R W1 } M2: {R R W R W M3: {R R W R W1} M4: {R R W R W0} M5: ᵡ R X X X X X X 352

3 Figure2. Microcode Based Asynchronous MBIST Architecture I. ASYNCRONOUS MBIST In Asynchronous MBIST instead of clock, two phase handshaking signals i.e. Request and Acknowledge are used. When a block needs work request data from previous block. The prior block sends the requested input and the success of receive is acknowledged by requesting block. Additional blocks in Asynchronous MBIST are, A. Pulse Generator It generates a Start Pulse at positive edge of the Start signal marking the start of test cycle. relevant bits of microword are sent to other blocks from IR. Comparator makes the comparison of input data from test collar and output data from memory, it the match doesn t exist it will generate the fault pulse along with the faulty address location and correct data that is required to remove fault. II. RESULTS All the blocks are implemented in Xilinx14.2 using Verilog and the simulation results are presented in this section. A. Microcode Instruction Storage B. Instruction Pointer Instruction Pointer points to the next microword, that is the next march operation to be applied to the memory under test (MUT). Depending on the test algorithm, it is able to i) point at the same address, ii) point to the next address, or iii) jump back to a previous address. C. Instruction Register It holds the microword (containing the test operation to be applied) pointed at by the Instruction Pointer. The various 353

4 B. Test Collar F. Synchronous MBIST RTL Schematics: Comparator Memor y C. Input Multiplexer MIS MUX TC D. Memory under Test Output Waveforms Fault pulse occurred at mismatch between mem and TC E. Comparator 354

5 III. CONCLUSION The simulation results have shown that the micro-coded MBIST architecture for synchronous memory testing is successfully implemented. Implementation of a single test operation in one microword ensures that any future test algorithms with any number of test operations per test element are successfully implemented using the current BIST architecture. Block diagram of asynchronous MBIST using two way handshaking is presented in the paper and the implementation work is in progress. A detailed power, time and area overhead analysis of this architecture is underway and efforts are being made to develop a power-optimized BIST architecture for embedded memories. As a final step, a comparative study of synchronous and asynchronous systems will be presented. REFERENCES [1] International SEMATECH, International Technology Roadmap for Semiconductors (ITRS): Edition 2001 [2] P. Camurati, P. Prinetta, M. S. Rcorda, P. di Torino, S. Barbagallo, A. Burri, and D. Medina, "An Industrial Experience in the Built-In Self Tcst of Embedded RAMS,'' Proc. 0fI2'~ VLSl Test Symp , pp I. [3] G. M. Park and H. Chang, "An Extended March Test Algorithm for Ernbedded Memories," Proc. of 61h Asian Test Symp., 1997, pp [4] C. T. Huang, J. R. Huang, C. F. Wu, C. W. Wu, T. Y. Chang, '"A Programmable BlST Core far Embedded DRAM," IEEE Design and Test of Compulers, January - March 1999, pp [5] A. J. van de Goor and Z. Al-Ars, Functional Fault Models: A Formal Notation and Taxonomy, In Proc. of IEEE VLSI Test Symposium, pp , [6] S. Hamdioui, G.N. Gaydadjiev, A.J.van de Goor, State-of-art and Future Trends in Testing Embedded Memories, International Workshop on Memory Technology, Design and Testing (MTDT 04), [7] R. Dean Adams, High Performance Memory Testing: Design Principles, Fault Modeling and Self-Test, Springer US,

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC Implementation of FSM-MBIST and Design of Hybrid MBIST for cluster in Asynchronous SoC Lakshmi H R BNM Institute of technology Varchaswini R BNM Institute of technology Yasha Jyothi M Shirur BNM Institute

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e. CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Monika Solanki* Department of Electronics & Communication Engineering, MBM Engineering College, Jodhpur, Rajasthan Review Article

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Memory Debug Technique Using March17N BIST Ms. Zeenath Assistant Professor in Electronic & Communication Engineering at Nawab

More information

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool Performance Analysis, Designing and Testing 512 Bit Sram emory Chip Using Xilinx/odelsim Tool onika Solanki* Department of Electronics & Communication Engineering, B Engineering College, Jodhpur, Rajasthan

More information

Optimization Of Memory Built In Self Test And Repairability By Using March-SS Algorithm For SRAMS

Optimization Of Memory Built In Self Test And Repairability By Using March-SS Algorithm For SRAMS Optimization Of Memory Built In Self Test And Repairability By Using March-SS Algorithm For SRAMS N.B.Jilani 1 K.Murali Krishna 2 and Dr.M.Sailaja 3 1 Student,ECE Dept,Aditya Engineering College,surampalem,

More information

RAM Testing Algorithms for Detection Multiple Linked Faults

RAM Testing Algorithms for Detection Multiple Linked Faults RAM Testing Algorithms for Detection Multiple Linked Faults V.G. Mikitjuk, V.N. Yarmolik, A.J. van de Goor* Belorussian State Univ. of Informatics and Radioelectronics, P.Brovki 6, Minsk, Belarus *Delft

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY

OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY http:// OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY 1 Nitin Sharma, 2 Dr. Pradeep Kumar, 3 Mr. Sunil Kumar ASET, AMITY UNIVERSITY, Noida (India) ABSTRACT The increase in integrity

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan Chapter 7 Memory Testing Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Importance of Embedded Memories RAM

More information

BIST for Deep Submicron ASIC Memories with High Performance Application

BIST for Deep Submicron ASIC Memories with High Performance Application BIST for Deep Submicron ASIC Memories with High Performance Application Theo J. Powell, Wu-Tung Cheng *, Joseph Rayhawk *, Omer Samman *, Paul Policke, Sherry Lai Texas Instruments Inc. PO Box 660199,

More information

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Srinivas Murthy Garimella Master s Thesis Defense Thesis Advisor: Dr. Charles E. Stroud Committee Members: Dr. Victor P. Nelson

More information

Fault Tolerant and BIST design of a FIFO cell

Fault Tolerant and BIST design of a FIFO cell Fault Tolerant and design of a FIFO cell F. Corno, P. Prinetto, M. Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy Abstract * This paper presents a design of a

More information

Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs)

Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs) Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs) V.SRIDHAR 1 M.RAJENDRA PRASAD 2 1 Assistant Professor, ECE, Vidya Jyothi Institute of Technology, Hyderabad

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

Testing Static and Dynamic Faults in Random Access Memories

Testing Static and Dynamic Faults in Random Access Memories Testing Static and Dynamic Faults in Random Access Memories Said Hamdioui 1 2 Zaid Al-Ars 2 Ad J. van de Goor 2 1 Intel Corporation, 2200 Mission College Boulevard, Santa Clara, CA 95052 2 Delft University

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

FPGA based High Speed Memory BIST Controller for Embedded Applications

FPGA based High Speed Memory BIST Controller for Embedded Applications Indian Journal of Science and Technology, Vol 8(33), DOI: 10.17485/ijst/2015/v8i33/76080, December 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 FPGA based High Speed Memory BIST Controller for

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October-2013 31 FPGA based complex test pattern generation for high speed fault diagnosis in memory blocks S. Charitha

More information

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani DESIGN AND TESTABILITY OF Z-TERNARY CONTENT ADDRESSABLE MEMORY LOGIC Keerthiga Devi S. 1, Bhavani, S. 2 Department of ECE, FOE-CB, Karpagam Academy of Higher Education (Deemed to be University), Coimbatore,

More information

Block Sparse and Addressing for Memory BIST Application

Block Sparse and Addressing for Memory BIST Application Block Sparse and Addressing for Memory BIST Application Mohammed Altaf Ahmed 1, D Elizabath Rani 2 and Syed Abdul Sattar 3 1 Dept. of Electronics & Communication Engineering, GITAM Institute of Technology,

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Assertion Based Verification of I2C Master Bus Controller with RTC Sagar T. D. M.Tech Student, VLSI Design and Embedded Systems BGS Institute of Technology,

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2 www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.13 June-2014, Pages:2858-2863 Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI

More information

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION ABSTRACT Harikishore.Kakarla 1, Madhavi Latha.M 2 and Habibulla Khan 3 1, 3 Department of ECE, KL University,

More information

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories*

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories* Efficient Test of Dynamic Read Destructive Faults in RAM Memories* Luigi Dilillo Patrick Girard erge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique de

More information

A Software-Based Test Methodology for Direct-Mapped Data Cache

A Software-Based Test Methodology for Direct-Mapped Data Cache 17th Asian Test Symposium A Software-Based Test Methodology for Direct-Mapped Data Cache Yi-Cheng Lin, Yi-Ying Tsai, Kuen-Jong Lee, Cheng-Wei Yen, and Chung-Ho Chen Department of Electrical Engineering,

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 30 Random Access Memory (RAM) Overview Memory is a collection of storage cells with associated input and output circuitry Possible to read

More information

Modeling Techniques and Tests for Partial Faults in Memory Devices

Modeling Techniques and Tests for Partial Faults in Memory Devices Modeling Techniques and Tests for Partial Faults in Memory Devices Zaid Al-Ars Ad J. van de Goor Section Computer Engineering, Faculty of Information Technology and Systems Delft University of Technology,

More information

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy Philipp Öhler and Sybille Hellebrand University of Paderborn Germany {oehler,hellebrand}@uni-paderborn.de Hans-Joachim Wunderlich

More information

Built-In Self-Test for System-on-Chip: A Case Study

Built-In Self-Test for System-on-Chip: A Case Study Built-In Self-Test for System-on-Chip: A Case Study Charles Stroud, John Sunwoo, Srinivas Garimella, and Jonathan Harris Dept. of Electrical and Computer Engineering Auburn University, Alabama USA ABSTRACT

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Design of 8 bit Pipelined Adder using Xilinx ISE

Design of 8 bit Pipelined Adder using Xilinx ISE Design of 8 bit Pipelined Adder using Xilinx ISE 1 Jayesh Diwan, 2 Rutul Patel Assistant Professor EEE Department, Indus University, Ahmedabad, India Abstract An asynchronous circuit, or self-timed circuit,

More information

Optimizing Memory BIST Address Generator Implementations

Optimizing Memory BIST Address Generator Implementations Optimizing Memory BIST Address Generator Implementations Ad. van de Goor 1,2 1 ComTex Voorwillenseweg 21 287 CA Gouda, The Netherlands Ad.vd.Goor@kpnplanet.nl Halil ukner 2 Said Hamdioui 2 2 Delft University

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

FSM Design Problem (10 points)

FSM Design Problem (10 points) Problem FSM Design Problem (5 points) Problem 2 FSM Design Problem ( points). In this problem, you will design an FSM which takes a synchronized serial input (presented LSB first) and outputs a serial

More information

The Effectiveness of Scan Test and its New Variants

The Effectiveness of Scan Test and its New Variants The Effectiveness of Scan Test and its New Variants Ad J. van de Goor Said Hamdioui Zaid Al-Ars Delft University of Technology; Faculty of Electrical Engineering, Mathematics and Computer Science Laboratory

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Computer Systems Organization

Computer Systems Organization The IAS (von Neumann) Machine Computer Systems Organization Input Output Equipment Stored Program concept Main memory storing programs and data ALU operating on binary data Control unit interpreting instructions

More information

MULTIPLE FAULT DIAGNOSIS FOR HIGH SPEED HYBRID MEMORY ARCHITECTURE

MULTIPLE FAULT DIAGNOSIS FOR HIGH SPEED HYBRID MEMORY ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 5, May 2013, pg.33

More information

Novel Architecture for Designing Asynchronous First in First out (FIFO)

Novel Architecture for Designing Asynchronous First in First out (FIFO) I J C T A, 10(8), 2017, pp. 343-349 International Science Press ISSN: 0974-5572 Novel Architecture for Designing Asynchronous First in First out (FIFO) Avinash Yadlapati* and Hari Kishore Kakarla* ABSTRACT

More information

Hardware Sharing Design for Programmable Memory Built-In Self Test

Hardware Sharing Design for Programmable Memory Built-In Self Test International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 6 (June 2014), PP.77-83 Hardware Sharing Design for Programmable Memory

More information

Jin-Fu Li Dept. of Electrical Engineering National Central University

Jin-Fu Li Dept. of Electrical Engineering National Central University Memory Built-In Self-Repair Dept. of Electrical Engineering National Central University Jungli, Taiwan Introduction Outline Redundancy Organizations Built-In Redundancy Analysis Built-In Self-Repair Infrastructure

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

A Survey on Dram Testing and Its Algorithms

A Survey on Dram Testing and Its Algorithms RESEARCH ARTICLE OPEN ACCESS A Survey on Dram Testing and Its Algorithms K.Manju Priya 1, M. Menaka 2 Research Scholar 1, Assistant professor 2 ECE Department (ME VLSI DESIGN) SVS College of Engineering,

More information

Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution

Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution Luigi Dilillo, Patrick Girard, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Hage-Hassan To cite this

More information

VLSI Architecture for an Efficient Memory Built in Self Test for Configurable Embedded SRAM Memory

VLSI Architecture for an Efficient Memory Built in Self Test for Configurable Embedded SRAM Memory I J C T A, 9(34) 2016, pp. 367-380 International Science Press VLSI Architecture for an Efficient Memory Built in Self Test for Configurable Embedded SRAM Memory Nisha O. S. 1 and Dr. K. Siva Sankar 2

More information

An Efficient Parallel Transparent Diagnostic BIST

An Efficient Parallel Transparent Diagnostic BIST An Efficient Parallel Transparent Diagnostic BIST D. C. Huang and W. B. Jone Department of CS & IE, National Chung-Cheng University, Taiwan, R.O.C. Abstract- In this paper, we propose a new transparent

More information

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 515 527, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Diagnostic Data Compression Techniques for Embedded Memories

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Architecture to Detect and Correct Error in Motion Estimation of Video System Based on RQ Code

Architecture to Detect and Correct Error in Motion Estimation of Video System Based on RQ Code International Journal of Emerging Engineering Research and Technology Volume 3, Issue 7, July 2015, PP 152-159 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Architecture to Detect and Correct Error

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

Detecting and Correcting the Multiple Errors in Video Coding System

Detecting and Correcting the Multiple Errors in Video Coding System International Journal of Emerging Engineering Research and Technology Volume 3, Issue 7, July 2015, PP 92-98 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Detecting and Correcting the Multiple Errors

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics Logic and Computer Design Fundamentals Memory Basics Overview Memory definitions Random Access Memory (RAM) Static RAM (SRAM) integrated circuits Arrays of SRAM integrated circuits Dynamic RAM (DRAM) Read

More information

Index Terms FIFO buffers, in-field test, NOC, permanent fault, transparent test. On Line Faults in FIFO Buffers of NOC Routers 1.

Index Terms FIFO buffers, in-field test, NOC, permanent fault, transparent test. On Line Faults in FIFO Buffers of NOC Routers 1. On Line Faults in FIFO Buffers of NOC Routers 1 BALIREDDY MOUNIKA, 2 JENNE HANUMANTHU, 3 G. NAGESHAM 1 PG Scholar, Dept. of ECE, GATES Institute of Technology, Gooty, Anantapuramu, AP, India 2 Associate

More information

Transparent Memory BIST*

Transparent Memory BIST* Transparent Memory BIST* M. G. Karpovsky V. N. Yarmolik Dep. Elec., Comp. & Syst. Eng. College of Eng., Boston University Boston, MA 02215, USA Computer Science Dep. Minsk Radio Eng. Inst., Minsk, 220027,

More information

Control Unit Implementation

Control Unit Implementation Control Unit Implementation Moore Machine Implementation Reset RES PC IF PC MAR, PC + PC Note capture of MBR in these states IF Wait/ IF2 Wait/ Wait/ MAR Mem, Read/Write, Request, Mem MBR Wait/ IF3 Wait/

More information

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction. Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of an Efficient

More information

L12: I/O Systems. Name: ID:

L12: I/O Systems. Name: ID: L12: I/O Systems Name: ID: Synchronous and Asynchronous Buses Synchronous Bus (e.g., processor-memory buses) Includes a clock in the control lines and has a fixed protocol for communication that is relative

More information

EECS150. Implement of Processor FSMs

EECS150. Implement of Processor FSMs EECS5 Section Controller Implementations Fall Implement of Processor FSMs Classical Finite State Machine Design Divide and Conquer Approach: Time-State Method Partition FSM into multiple communicating

More information

Design and Implementation of Hamming Code on FPGA using Verilog

Design and Implementation of Hamming Code on FPGA using Verilog International Journal of Engineering and Advanced Technology (IJEAT) Design and Implementation of Hamming Code on FPGA using Verilog Ravi Hosamani, Ashwini S. Karne Abstract In mathematics, digital communication

More information

Importance of Dynamic Faults for New SRAM Technologies

Importance of Dynamic Faults for New SRAM Technologies Importance of ynamic Faults for New SRAM Technologies Said Hamdioui Rob Wadsworth ohn elos Reyes Ad. van de oor elft University of Technology, Faculty of Information Technology and Systems Computer Engineering

More information

Novel Implementation of Low Power Test Patterns for In Situ Test

Novel Implementation of Low Power Test Patterns for In Situ Test Novel Implementation of Low Power Test Patterns for In Situ Test K.Ramya 1, Y.N.S.Vamsi Mohan 2, S.V.S.M.Madhulika 3 1 M.Tech Student, Department of ECE,Bonam Venkata Chalamayya Institute of Technology

More information

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 (Spl.) Sep 2012 42-47 TJPRC Pvt. Ltd., VLSI DESIGN OF

More information

Fault Tolerant Prevention in FIFO Buffer of NOC Router

Fault Tolerant Prevention in FIFO Buffer of NOC Router Fault Tolerant Prevention in FIFO Buffer of NOC Router Varalakshmi Dandu 1, P. Annapurna Bai 2 Dept. of ECE, St.Mark Educational Society, Affiliated to JNTUA, AP, India 1 Assistant Professor, Dept. of

More information