CS/EE 5710/6710 Digital VLSI CAD Assignment #1 Due Tuesday, September 10th, 5:00pm Use handin on a cade machine for submitting the assignment

Size: px
Start display at page:

Download "CS/EE 5710/6710 Digital VLSI CAD Assignment #1 Due Tuesday, September 10th, 5:00pm Use handin on a cade machine for submitting the assignment"

Transcription

1 CS/EE 5710/6710 Digital VLSI CAD Assignment #1 Due Tuesday, September 10th, 5:00pm Use handin on a cade machine for submitting the assignment For this assignment you ll use Digital VLSI Chip Design with Cadence and Synopys Tools.. You ll need to look at Chapters 1-4 for this assignment. The chapters are tutorial in nature so you should be able to follow along as you re learning the tools and completing the assignment. Note that the CAD manual was written with examples from v5.1.4 of the Cadence tools. This year we re using v6.1.5 so things will look a tiny bit different. There is a V5 to V6 conversion guide on the class wed site. Assignment 1. Complete the first part of the Cadence Composer tutorial in Chapter 3 by making a new library and designing a full adder using the standard cells in the NCSU_Digital_Parts library. Note that this is a different parts library than used in the CAD book tutorial! Pay attention to where your gates are coming from! You are welcome to copy the schematic in the CAD book, or make a different gate-level circuit that also implements a Full Adder. The schematic for your full adder is one of the deliverables for this lab. a. Name your new library CAD1 instead of the name used in the CAD manual. You ll be turning in this entire project directory at the end of the assignment. b. Name your full adder schematic FullAdder c. Print a copy of your full adder shcematic into your VLSI directory by printing to a file. Name this file FullAdder.ps. See the information in Section 3.4 of the CAD manual for details. 2. Test your full adder using NC_Verilog. You ll need information from Chapter 4 to simulate your design. Even though we re using NC_Verilog (Section 4.1.2), you should read section on Verilog-XL because there are some general simulation instructions there that pertain to all of our simulators. Deliverables for this section of the lab include the Verilog testbench you wrote for your full adder, the output from that simulation, and the timing waveform that results from the simulation. a. Use the default FullAdder_run1 directory for simulation. (Use the default *_run1 directory for each of the simulations in this assignment) b. Make sure that your testbench uses $display statements, and error checking. We ll look for your simout.tmp file in the FullAdder_run1 simulation directory that you ll turn in to see the $display output. c. We ll find your testfixture.verilog testbench file in your NC_Verilog *_run1 directory. d. Print the timing waveform from simvision using the print to file procedure described on page 63 of the CAD manual. Call this file FullAdderSim.ps. This file will go in your FullAdder_run1 simulation directory by default.

2 3. Create a symbol for your full adder and use it in building a 3-bit adder in a new schematic. The schematic for your 3-bit adder is another deliverable. a. Call your 3-bit adder schdmatic add3 b. Print a copy of your add3 schematic using the print to file procedure. Call that file add3.ps. 4. Simulate the 3-bit Adder using NC_Verilog and a tesetbench that you write for the simulation. You will turn in the Verilog testbench, the output from the simulation, and the timing waveform that results from simulation. a. We ll find your simout.tmp and testfixture.verilog files in your add3_run1 simulation directory that you will turn in. b. Print the timing waveform of the simulation using the print to file procedure. Call this file add3sim.ps. 5. Complete the next part of the tutorial in Chapter 3 by designing a 2-input NAND gate using nmos and pmos transistors from the UofU_Analog_Parts library. Use vdd and gnd symbols for power and ground connections. Again, pay attention to where your gates are coming from! Create a symbol for the NAND that looks something like a NAND symbol. That is, modify the rectangle provided by Cadence to something that looks more like a standard NAND symbol. Simulate your NAND and validate that it is behaving correctly using NC_Verilog and a new testbench. Turn in the schematic for the NAND, the Verilog testbench, the simulation output, and the timing waveform that results from simulation. a. Name this circuit nand2. b. Print your nand2 schematic to a file called nand2.ps. c. We ll find your simout.tmp and testfixture.verilog files in your nand2_run1 simulation directory. d. Print the simulation waveform into a file named nandsim.ps. 6. Using instances of your own 2 input NAND gate, build a circuit that implements the following Boolean function (don t minimize or manipulate the function, and don t use any gates except for your own NAND gate). a. Name this circuit function. b. print the schematic for the circuit to a file named function.ps. 7. Simulate this circuit using NC_Verilog and validate the timing diagrams. Turn in the Verilog testbench, the simulation output, and the timing waveform that results from simulation. a. We ll find your simout.tmp and testfixture.verilog files in your function_run1 simulation directory. b. Print the timing waveform from simulation into a file named functionsim.ps.

3 Additional Details For all of these simulation tasks, make sure that your Verilog test fixture uses "if" and "$display" statements to check for the correct results in the simulation. You should be able to tell from running your test fixture whether the circuit is working correctly before you look at the timing waveforms (that is, if the circuit produces an incorrect output, an error message should be printed!). For these simulations, and for subsequent simulations in this class, you should either test things exhaustively (i.e. test for all possible input combinations), or describe on a separate sheet what tests you did run and justify why that is a good set of tests. It s unlikely that you ll be able to test larger circuits in future labs exhaustivly so you ll have to put some thought into what to test and why that is a good set of tests. However, exhaustive testing will work fine for this assignment. Also, make sure to use an Asheet frame from the UofU_Sheets library on every schematic. Spend the time to make your schematics neat and orderly. Straighten out the wires, space out the components appropriately, don't over crowd, and generally make things look nice. Neatness counts when grading schematics. Note that this assignment is to be done individually. We'll form teams later. CAD Tool Setup Look at Chapters 1 and 2 for information about configuring and starting the tools. Note also that the tool paths in Chapter 2 of the CAD book are close, but not exactly the right paths to use. In particular (these things you only have to do once if you do them correctly): o Make a new directory in which to start up the tools. I recommend making a directory named VLSI in your home directory. o Make a new directory for Cadence under that VLSI directory. I recommend calling it cadence-f13 so that you can keep it isolated from other classes in the future that might use different versions of the tools. Here is the recommended sequence of steps (read the material in Chapter 2 also!). First you will need to log in to a CADE linux machine. Cadence tools are mounted on all the linux machines in the CADE lab. cd mkdir VLSI cd VLSI mkdir cadence-f13 Now set things up so that you ll automatically use the correct version of the tools, and the correct version of the class files. o Use the cad-ncsu script from /uusoc/facility/cad_common/local/bin/f13/cad-ncsu for running the Cadence tools. The easiest thing to do is make sure your search path contains /uusoc/facility/cad_common/local/bin/f13

4 o Set your LOCAL_CADSETUP variable to /uusoc/facility/cad_common/local/class/6710/f13 This is different than what the book says! Make sure you spell LOCAL_CADSETUP correctly! It s NOT CADESETUP The easiest way to do this once and not have to worry about it for the rest of the semester is to edit your.cshrc (or.tcshrc if you use that) to add the following things (if you use bash as your shell, the syntax will be slightly different and you will have to figure that out because I don t use bash ): set path = ($path /uusoc/facility/cad_common/local/bin/f13) setenv LOCAL_CADSETUP /uusoc/facility/cad_common/local/class/6710/f13 Now that these lines are in your.cshrc (or other setup file), the next time you login or start a new shell you will be able to connect to your VLSI/cadence-f13 directory and start up the Cadence tools with cad-ncsu. cd VLSI/cadence-f13 cad-ncsu Things to Turn In Turn in this assignment using the handin program on a CADE linux machine. This is a program that securly transfers data from your account to the class account. The general syntax for the handing process is: handin cs6710 <assignment-name> <file-to-hand-in> One issue with handin is that you can only use it to hand in one file at a time. So, to make things easier, you should use tar to make a file that contains all the files that you want to handin. The simple syntax for tar is: tar [options] [file] Options that are useful include: -c : this creates a new tar archive -r <files> : this appends files to an existing archive -f <filename> : this specifies which archive file you re talking about -v : be verbose and list the files that are being processed -x : extract files from an archive -t : list the files that are in an archive Examples: # create a new archive named archive.tar and put files foo and bar in the archive tar -cf archive.tar foo bar

5 # list all the files that are contained in the archive named archive.tar tar -tvf archive.tar # append a file named trythis.txt to an existing archive tar -rf archive.tar trythis.txt For this assignment, you will begin by creating an archive named cad1.tar and put your CAD1 library directory in it: cd ~/VLSI tar cf cad1.tar CAD1 Now, add the simulation directories for each of your simulation runs to the tar file. For example: tar rf cad1.tar FullAdder_run1 add3_run1 nand2_run1 function_run1 Add the schematic plots tar rf cad1.tar FullAdder.ps add3.ps nand2.ps function.ps If you want to check what s in your.tar file you can check with: tar tvf cad1.tar When you have everything assembled in the tar archive, you can turn it in using handing with: handin cs6710 CAD1 cad1.tar This will transfer the cad1.tar archive file to the cs6710 account, for the CAD1 assignment. To make sure it was submitted properly you can say: handin cs6710 CAD1 That will show you what has been turned in. You can always overwrite a file that has been turned in using handin, so if you made a mistake, or want to update your submission, you can submit again as many times as you need to up to the due date/time for the assignment.

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: October 10, 2011 Due: October 19, 2011, 4PM Reading: Rabaey Insert

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi CADENCE TUTORIAL San Diego State University, Department of Electrical and Computer Engineering Amith Dharwadkar and Ashkan Ashrafi 1 Contents 1) 2) 3) 4) 5) 6) Introduction 3 Connecting to the Volta server..4

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Basic Analog Simulation in Cadence

Basic Analog Simulation in Cadence York University Department of Electrical Engineering and Computer Science EMIL Tutorial Series Tutorial #1 Basic Analog Simulation in Cadence In this tutorial we step through how to start Cadence (or at

More information

CMOS VLSI Design Lab 1: Cell Design and Verification

CMOS VLSI Design Lab 1: Cell Design and Verification CMOS VLSI Design Lab 1: Cell Design and Verification This is the first of four chip design labs developed at Harvey Mudd College. These labs are intended to be used in conjunction with CMOS VLSI Design,

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

HOMEWORK 2 CMPEN 411 Due: 1/31/ :30pm

HOMEWORK 2 CMPEN 411 Due: 1/31/ :30pm HOMEWORK 2 CMPEN 411 Due: 1/31/2011 11:30pm Learning Objective Learn the VLSI CAD tools and chip design concepts by designing 8-bit Ripple Carry Adder (RCA). Instruction Design 8-bit Ripple Carry Adder

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

ECE2029: Introduction to Digital Circuit Design. Lab 2 Implementing Combinational Functional Blocks

ECE2029: Introduction to Digital Circuit Design. Lab 2 Implementing Combinational Functional Blocks ECE2029: Introduction to Digital Circuit Design Lab 2 Implementing Combinational Functional Blocks Objective: In this lab exercise you will simulate, test, and download various digital circuits which implement

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

ECE/CS 3710 Computer Design Lab Lab 2 Mini-MIPS processor Controller modification, memory mapping, assembly code

ECE/CS 3710 Computer Design Lab Lab 2 Mini-MIPS processor Controller modification, memory mapping, assembly code ECE/CS 3710 Computer Design Lab Lab 2 Mini-MIPS processor Controller modification, memory mapping, assembly code Due Tuesday, September 22nd, 2009 Laboratory Objectives Understand and extend a very very

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017

ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017 ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017 You will spend most of your lab time using the Virtuoso design tools from Cadence Design Systems. Virtuoso

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Introduction to Design Architect

Introduction to Design Architect SANTA CLARA UNIVERSITY Dept. of Electrical Engineering Mentor Graphics Tutorials Introduction to Design Architect Yiching Chen Sangeetha Raman S. Krishnan I. Introduction II. This document contains a step-by-step

More information

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010 Tutorial: ISE 12.2 and the Spartan3e Board v12.2.1 August 2010 This tutorial will show you how to: Use a combination of schematics and Verilog to specify a design Simulate that design Define pin constraints

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation

Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation Introduction ENGN1600 will be using the Cadence Virtuoso software suite for its circuit design and SPICE components. Part of the

More information

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Digital VLSI Chip Design CAD manual addendum When you re drawing layout, especially of a complex cell, it s sometimes hard to see exactly what your

More information

DIGITAL CIRCUITS AND LOGIC DESIGN LABORATORY MANUAL Spring, 2014

DIGITAL CIRCUITS AND LOGIC DESIGN LABORATORY MANUAL Spring, 2014 DIGITAL CIRCUITS AND LOGIC DESIGN LABORATORY MANUAL Spring, 2014 Jack Ou Engineering Science Sonoma State University A SONOMA STATE UNIVERSITY PUBLICATION CONTENTS 1 Linux Tutorial 1 1.1 Login to Redhat

More information

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up.

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. Start with a new project. Enter a project name and be sure to select Schematic as the Top-Level

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

Digital Electronics & Computer Engineering (E85)

Digital Electronics & Computer Engineering (E85) Digital Electronics & Computer Engineering (E85) Lab 5: 32-bit ALU Introduction In this lab, you will build the 32-bit Arithmetic Logic Unit (ALU) that is described in your book in Section 4.5. Your ALU

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Cadence Tutorial 6. Verilog-XL Simulation for Dynamic Logic. EE577b Fall 98

Cadence Tutorial 6. Verilog-XL Simulation for Dynamic Logic. EE577b Fall 98 Cadence Tutorial 6 Verilog-XL Simulation for Dynamic Logic EE577b Fall 98 In this tutorial, I am going to demonstrate how to design and simulate the domino style dynamic logic. 1. Tutorial Setup No previous

More information

Essential Linux Shell Commands

Essential Linux Shell Commands Essential Linux Shell Commands Special Characters Quoting and Escaping Change Directory Show Current Directory List Directory Contents Working with Files Working with Directories Special Characters There

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2015 Lab #1: Getting Started Issued Fri. 1/9/15; Due Wed. 1/14/15 (11:59pm) This lab assignment consists

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

Lab 1 Introduction to UNIX and C

Lab 1 Introduction to UNIX and C Name: Lab 1 Introduction to UNIX and C This first lab is meant to be an introduction to computer environments we will be using this term. You must have a Pitt username to complete this lab. NOTE: Text

More information

CMSC 201 Spring 2018 Lab 01 Hello World

CMSC 201 Spring 2018 Lab 01 Hello World CMSC 201 Spring 2018 Lab 01 Hello World Assignment: Lab 01 Hello World Due Date: Sunday, February 4th by 8:59:59 PM Value: 10 points At UMBC, the GL system is designed to grant students the privileges

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: October 12, 2007, 5pm 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor the register

More information

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm HOMEWORK 10 CMPEN 411 Due: 4/28/2016 11:30pm Instruction First, fabrication ready the full 8 bit RISC microprocessor chip: redesign the chip (its components) to fit the entire chip fitted into the 40 pin

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

CMOS VLSI Design Lab 2: Datapath Design and Verification

CMOS VLSI Design Lab 2: Datapath Design and Verification CMOS VLSI Design Lab 2: Datapath Design and Verification In this lab, you will begin designing an 8-bit MIPS processor. You will first review and simulate a Verilog model of the overall processor. You

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

UNIT 6 CIRCUIT DESIGN

UNIT 6 CIRCUIT DESIGN UNIT 6 CIRCUIT DESIGN 1 2 HIERARCHY DESIGN CMOS LOGIC CIRCUIT DESIGN Learning outcomes FOR HIERARCHY DESIGN Student should be able to: Define hierarchy design. Explain the levels of hierarchical design.

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

VERILOG 1: AN OVERVIEW

VERILOG 1: AN OVERVIEW VERILOG 1: AN OVERVIEW Verilog in This Course On one hand... The important content of the course is core digital systems design principles Verilog is simply the language by which you communicate your design

More information

discrete logic do not

discrete logic do not Welcome to my second year course on Digital Electronics. You will find that the slides are supported by notes embedded with the Powerpoint presentations. All my teaching materials are also available on

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

ECE 152A LABORATORY 2

ECE 152A LABORATORY 2 ECE 152A LABORATORY 2 Objectives : 1. Understand the trade-off between time- and space-efficiency in the design of adders. In this lab, adders operate on unsigned numbers. 2. Learn how to write Verilog

More information

CMSC 201 Spring 2017 Lab 01 Hello World

CMSC 201 Spring 2017 Lab 01 Hello World CMSC 201 Spring 2017 Lab 01 Hello World Assignment: Lab 01 Hello World Due Date: Sunday, February 5th by 8:59:59 PM Value: 10 points At UMBC, our General Lab (GL) system is designed to grant students the

More information

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm HOMEWORK 7 CMPEN 411 Due: 3/22/2016 11:30pm Learning Objective Use the VLSI CAD tools to design and implement the SRAM consisting of 32 words, 16 bit per word, and analyze it. (This SRAM will be used as

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Fall 2016 Lab #1: Getting Started Issued Wed. 8/24/16; Due Wed. 8/31/16 (11:59pm) This lab

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2016 Lab #1: Getting Started Issued Wed. 1/13/16; Due Wed. 1/20/16 (11:59pm) This lab assignment consists

More information

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools This is a tutorial introduction to the process of designing circuits using a set of modern design tools. While the tools we will be using (Altera

More information

Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due

Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due CMU 18-447: Introduction to Computer Architecture Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due In this tutorial, you will take a quick tour of the tools we will use in this

More information

COMP combinational logic 1 Jan. 18, 2016

COMP combinational logic 1 Jan. 18, 2016 In lectures 1 and 2, we looked at representations of numbers. For the case of integers, we saw that we could perform addition of two numbers using a binary representation and using the same algorithm that

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Using makemem template

Using makemem template Using makemem template V1.2 November 18, 2004 Modified by Erik Brunvand for CS/EE 6710 Nov 2006 This document describes how to set up to use makemem the ROM and SRAM generator. It also gives an example

More information

The Design and Simulation of an Inverter

The Design and Simulation of an Inverter The Design and Simulation of an Inverter Cadence Tutorial This tutorial has been devised to run through all the steps involved in the design and simulation of a CMOS inverter using the Cadence CAD tools.

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) This tutorial walks you through the Cadence to Synopsys Interface (CSI). This interface lets you take a schematic from composer

More information

EECS2031 Winter Software Tools. Assignment 1 (15%): Shell Programming

EECS2031 Winter Software Tools. Assignment 1 (15%): Shell Programming EECS2031 Winter 2018 Software Tools Assignment 1 (15%): Shell Programming Due Date: 11:59 pm on Friday, Feb 9, 2018 Objective In this assignment, you will be writing four shell programs. The first program

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2015 Lab #2: Hierarchical Design & Verilog Practice Issued Wed. 1/14/15; Due Wed. 1/21/15 (11:59pm) This

More information

CS 460 Linux Tutorial

CS 460 Linux Tutorial CS 460 Linux Tutorial http://ryanstutorials.net/linuxtutorial/cheatsheet.php # Change directory to your home directory. # Remember, ~ means your home directory cd ~ # Check to see your current working

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS 1. RUNNING MENTOR GRAPHICS Erdem S. Erdogan 09.13.2006 Note: These commands can be run remotely via ssh to one of the DSIL machines. If running remotely, ignore

More information

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM Department of Computing Course 112 Hardware First Year Laboratory Assignment Dates for the session 2005-2006: Hand out Date: 10 th January 2006 Hand in deadline (electronic and written report): 17.00 Monday

More information

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game EE108A Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game 1. Introduction Objective This lab is designed to familiarize you with the process of designing, verifying, and implementing a combinational

More information

Lab 0: Introduction to the FPGA Development Board

Lab 0: Introduction to the FPGA Development Board Lab 0: Introduction to the FPGA Development Board University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems

More information

Experiment 0: Introduction to Cadence

Experiment 0: Introduction to Cadence UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE105 Lab Experiments Experiment 0: Introduction to Cadence Contents 1. Introduction...

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

CS4023 Week04 Lab Exercise

CS4023 Week04 Lab Exercise CS4023 Week04 Lab Exercise Lab Objective: We will use this lab to log in to our Linux accounts and to look at some simple programs that perform a few elementary system calls. By the end of the lab we will

More information

Using IDLE for

Using IDLE for Using IDLE for 15-110 Step 1: Installing Python Download and install Python using the Resources page of the 15-110 website. Be sure to install version 3.3.2 and the correct version depending on whether

More information