model System under Development NIU HW description in Verilog Port NIU Monitor Program in C++ NIU Firmware in C++ SPARC model other device model

Size: px
Start display at page:

Download "model System under Development NIU HW description in Verilog Port NIU Monitor Program in C++ NIU Firmware in C++ SPARC model other device model"

Transcription

1

2

3

4

5 System under Development Co-simulation Environment NIU Firmware in C++ Interface functions Co-simulation support library NIU HW description in Verilog Port model SPARC model IPC tasks Verilog-XL other device model Builtin tasks NIU Monitor Program in C++ Interface functions Co-simulation support library Unix Unix Unix Network

6 Verilog simulator software process2 software process1 Unix sockets Application-specific hardware module hardware process1 hardware process2 Bus interface module Verilog PLI

7 System Graph Model Ariadne DLX Assembly Code Gate-Level Description DLX Simulator POSEIDON Mercury

8

9 UNIX sockport Wrapper Simulation Workstation Ethernet VxWorks Interface Library msgsend() msgreceive() Server Process Remote Process Single-Board Computer Custom Board Remote Process Interface Library msgsend() msgreceive()

10

11 data bus GPD application program FESPA addr read write RAM sen_act LATCH FL2BITV sen_val LATCH BITV2FL act_val or VHDL processor model I/O time INTER_CTR strb time INTER error PROUESSE electromechanical systems simulator

12 scheduler Virtual System (simulation) Prototype System (emulation) interface FPGA pod

13 Simulator Simulator Simulator network Scheduler software interface SCSI bus Pod (xilinx) hardware interface Aptix FPCB prototyping board Pod (xilinx) hardware interface

14 Application code Debugger interface Instruction set simulator Data-space state Interrupts Data accesses Instruction timing Configuration manager Co-simulation kernel BIM state Bus cycle requests Bus interface models Hardware simulation interface Hardware simulation kernel Hardware design Memory models

15 system model design flow C-program VHDL model HW model (FPGA) communication channel

16

17 C program... write_socket() top-level entity of VHDL description HW core decoder/ signal register channel unit IPC handler... read_socket()... HW prototype standard device or HW prototype write_socket() {... } IPC routines Socket IPC read_socket() {... } from generator from library user-given

18 Sparc CPU SW CAD tools HW (Inspire) custom board HW (FPGA) device driver SBUS interface SBUS

19 migration from VHDL sim. to HW prototype VHDL-simulated (incremental part) HW-prototyped function of HW component HW function is completely defined and prototyped time

20 co-simulation co-synthesis C-program VHDL model (running on Inspire) C-program Refined VHDL model with Decoder IPC routines Socket IPC foreign IPC procedures DD calls I/O calls Comm. Ch. IF HW model IF simulation model library/ generator comm. protocol of target architecture IF synthesis model library/ generator

21

22

23 target binary simulation compiler host binary target binary simulation compiler C program C compiler host binary Modify the intermediate C program for profiling

24

25 HW events & elements SW instruction SW clock period (*n) bcnd _loopstart, LT lacl var

26 HW Model (VHDL) procedure sw_code attribute foreign of sw_code is C timing : postponed process begin sw_code(address,data,t_time); wait for t_time - now; end postponed process; 1. call 3. return SW Processor Model void sw_code(int*,int*,int*) {... ISR(); asm[pc].func(); } * Good performance with a limitation of single-processor co-simulation 2. call _main ldp 6 setc NO_SXM splk tick, NO_PRD opl timer_imr, IMR splk trb, NO_TCR splk timer_ifr, IFR _iloop lacl one b _iloop

27 SW HW (est. 3~6 clk s) checkpoint job done time IPC overhead

28 time SW HW t C t e min sw (i) t e max sw (i) t O t e min hw (j) t e max hw (j)

29 SW HW or t O t a SW Initiate HW thread j+1

30 SW HW re-execution t C or or rollback or t a SW t a HW t O

31 HW Model (VHDL) procedure socket_read; attribute foreign of socket_read is C ; procedure socket_write; attribute foreign of socket_write is C ; timing : postponed process begin if now = t_time or hw2sw_intr then -- send intr or ready msg to SW socket_write(address, data, now); -- receive a next t_time from SW; socket_read(address, data, t_time); end if; wait for t GCD ; end postponed process; Unix socket SW Processor Model void main() { while(1) { if (mode == SYNC) // set mode = ASYNC // in socket_read() socket_read(); // roll back if needed... ISR(); asm[pc].func(); } } if (mode == SYNC) socket_write();

32

33 synchronous optimistic IPC-based Non-IPC (sec)

34 synchronous Optimistic IPC-based Non-IPC (sec)

35 LP(A) Task A I(A,i) Task B I(B,j) LP(B) Task C (HW) I(C,i) (interrupt, timestamp) I(C,i+1) LP(C) initiation from other Task(s) I(A,i+1)

36 Time Task A Task B Stored States T c (k) I(A,i) A1 I(B,j) B1 LP(A) LP(B) A1 B1 T c (k+1) T c (k+2) T c (k+3) I(A,i+1) A2 A3 B2 B3 A2 A2 A3 B2 B3 B3

37 init read interrupt write An instance of HW task

38 HW simulator Optimistic cosim. init Synchronous cosim. based on GVT SW (C50) simulator Motor simulator straggler rollback 30 us time time time

39 SW Motor IPC HW Total Sync Opt (SW) 80(HW) Run-time (sec) Simple SS(HW) Task-based SS(HW) State Size (kbyte) Simple SS(SW) Task-based SS(SW)

40

41 coded bitstreams VLD Frame Store Reconstructor Forward Predictor Merger Backward Predictor IQ VLD : Variable Length Decoder IQ : Inverse Quantizer Zigzag Buffer IDCT + video output

42 P(0) P(1) P(n) User Programs SRAM DOS FPGA (Control and StatusRegister) FPGA (IDCT) Buffer ISA BUS

43 codes send data IDCT_start IDCT IDCT_done receive result time (usec) interface overhead

44 External control Coding control Source coder Video multiplex coder Transmission buffer Transmission coder Video signal a) Video encoder Coded bit stream Source decoder Video multiplex decoder Receiving buffer Receiving decoder b) Video decoder

45 Coding control Video in - DCT Q IQ IDCT + ME/MC motion vector

46 % Time Run time(second) Program SAD_Macroblock mcount umul umul_8bit FindHalfPel MotionEstimation Dct idctref Quant umul_4bit Subprograms for Motion Estimation 49.4% of total run time!!!

47

48 C-program VHDL model IPC routine socket IPC foreign IPC procedures

49 Cutter Trajectory Desired Trajectory contour error 10mm Origin 15mm

50 CNC Controller Motor Simulator procedure sw_code attribute foreign of sw_code is C foreign postponed process interface sw_code(address,data,t_time) wait for t_time-now; multi-func module 1 VHDL simulator Hardware Engines multi-func module 3 C50 compiled model void sw_code(int*,int*,int*) { if TIME % 300 == 0 socket_rw(); } ISR(); asm[pc].func(); Unix socket void main() { do { if TIME % 300 == 0 { socket_wr(); plant_x(); plant_y(); } TIME++; } while(1); }

51

52

53

54

Hardware/Software Co-Design/Co-Verification

Hardware/Software Co-Design/Co-Verification Hardware/Software Co-Design/Co-Verification Sungho Kang Yonsei University Outline Introduction Co-design Methodology Partitioning Scheduling Co-Simulation Systems Timed Co-simulation Multimedia Examples

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 19: Hardware-Software Co-Simulation* Prof. Mingjie Lin * Rabi Mahapatra, CpSc489 1 How to cosimulate? How to simulate hardware components of a mixed

More information

An Integrated Cosimulation Environment for Heterogeneous Systems Prototyping

An Integrated Cosimulation Environment for Heterogeneous Systems Prototyping An Integrated Cosimulation Environment for Heterogeneous Systems Prototyping Yongjoo Kim, Kyuseok Kim, Youngsoo Shin, Taekyoon Ahn, and Kiyoung Choi School of Electrical Engineering Seoul National University

More information

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi Hardware Software Co-design and SoC Neeraj Goel IIT Delhi Introduction What is hardware software co-design Some part of application in hardware and some part in software Mpeg2 decoder example Prediction

More information

Cosimulation II. How to cosimulate?

Cosimulation II. How to cosimulate? Cosimulation II Cosimulation Approaches Mahapatra-Texas A&M-Fall 00 1 How to cosimulate? How to simulate hardware components of a mixed hardware-software system within a unified environment? This includes

More information

An Integrated Hardware-Software Cosimulation Environment for Heterogeneous Systems Prototyping

An Integrated Hardware-Software Cosimulation Environment for Heterogeneous Systems Prototyping An Integrated Hardware-Software Cosimulation Environment for Heterogeneous Systems Prototyping Yongjoo Kim*, Kyuseok Kim*, Youngsoo Shin*, Taekyoon Ahn*, Wonyong Sung', Kiyoung Choi*, Soonhoi Ha' * Dept.

More information

Cosimulation II. Cosimulation Approaches

Cosimulation II. Cosimulation Approaches Cosimulation II Cosimulation Approaches How to cosimulate? How to simulate hardware components of a mixed hardware-software system within a unified environment? This includes simulation of the hardware

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

HW / SW Implementation Overview (0A) Young Won Lim 7/16/16

HW / SW Implementation Overview (0A) Young Won Lim 7/16/16 HW / SW Implementation Copyright (c) 213-216 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Short Term Courses (Including Project Work)

Short Term Courses (Including Project Work) Short Term Courses (Including Project Work) Courses: 1.) Microcontrollers and Embedded C Programming (8051, PIC & ARM, includes a project on Robotics) 2.) DSP (Code Composer Studio & MATLAB, includes Embedded

More information

ReconOS: An RTOS Supporting Hardware and Software Threads

ReconOS: An RTOS Supporting Hardware and Software Threads ReconOS: An RTOS Supporting Hardware and Software Threads Enno Lübbers and Marco Platzner Computer Engineering Group University of Paderborn marco.platzner@computer.org Overview the ReconOS project programming

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

MPEG-2. And Scalability Support. Nimrod Peleg Update: July.2004

MPEG-2. And Scalability Support. Nimrod Peleg Update: July.2004 MPEG-2 And Scalability Support Nimrod Peleg Update: July.2004 MPEG-2 Target...Generic coding method of moving pictures and associated sound for...digital storage, TV broadcasting and communication... Dedicated

More information

5I20 ANYTHING I/O MANUAL

5I20 ANYTHING I/O MANUAL 5I20 ANYTHING I/O MANUAL Version 1.9 This page intentionally not blank 12 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

ESE532 Spring University of Pennsylvania Department of Electrical and System Engineering System-on-a-Chip Architecture

ESE532 Spring University of Pennsylvania Department of Electrical and System Engineering System-on-a-Chip Architecture University of Pennsylvania Department of Electrical and System Engineering System-on-a-Chip Architecture ESE532, Spring 2017 HW2: Profiling Wednesday, January 18 Due: Friday, January 27, 5:00pm In this

More information

SPACE: SystemC Partitioning of Architectures for Co-design of real-time Embedded systems

SPACE: SystemC Partitioning of Architectures for Co-design of real-time Embedded systems September 29, 2004 SPACE: Partitioning of Architectures for Co-design of real-time Embedded systems Jérome Chevalier 1, Maxime De Nanclas 1, Guy Bois 1 and Mostapha Aboulhamid 2 1. École Polytechnique

More information

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10 TKT-2431 SoC design Introduction to exercises Assistants: Exercises and the project work Juha Arvio juha.arvio@tut.fi, Otto Esko otto.esko@tut.fi In the project work, a simplified H.263 video encoder is

More information

Multimedia Decoder Using the Nios II Processor

Multimedia Decoder Using the Nios II Processor Multimedia Decoder Using the Nios II Processor Third Prize Multimedia Decoder Using the Nios II Processor Institution: Participants: Instructor: Indian Institute of Science Mythri Alle, Naresh K. V., Svatantra

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

AC OB S. Multi-threaded FW framework (OS) for embedded ARM systems Torsten Jaekel, June 2014

AC OB S. Multi-threaded FW framework (OS) for embedded ARM systems Torsten Jaekel, June 2014 AC OB S Multi-threaded FW framework (OS) for embedded ARM systems Torsten Jaekel, June 2014 ACOBS ACtive OBject (operating) System Simplified FW System for Multi-Threading on ARM embedded systems ACOBS

More information

Group 10 Programmable Sensor Output Simulator Progress Report #2

Group 10 Programmable Sensor Output Simulator Progress Report #2 Department of Electrical Engineering University of Victoria ELEC 499 Design Project Group 10 Programmable Sensor Output Simulator Progress Report #2 March 5, 2005 Submitted by: Group No.: 10 Team: Exfour

More information

Simulink -based Programming Environment for Heterogeneous MPSoC

Simulink -based Programming Environment for Heterogeneous MPSoC Simulink -based Programming Environment for Heterogeneous MPSoC Katalin Popovici katalin.popovici@mathworks.com Software Engineer, The MathWorks DATE 2009, Nice, France 2009 The MathWorks, Inc. Summary

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

QEMU for Xilinx ZynqMP. V Aug-20

QEMU for Xilinx ZynqMP. V Aug-20 QEMU for Xilinx ZynqMP Edgar E. Iglesias V2 2015-Aug-20 ZynqMP SoC New Chip (Zynq NG) Aggressive target for QEMU as early SW platform emulating WiP chip BootROMs, Boot-loaders,

More information

Commercial Real-time Operating Systems An Introduction. Swaminathan Sivasubramanian Dependable Computing & Networking Laboratory

Commercial Real-time Operating Systems An Introduction. Swaminathan Sivasubramanian Dependable Computing & Networking Laboratory Commercial Real-time Operating Systems An Introduction Swaminathan Sivasubramanian Dependable Computing & Networking Laboratory swamis@iastate.edu Outline Introduction RTOS Issues and functionalities LynxOS

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT939 Document Issue Number 1.1 Issue Data: 1th March 2012

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

asoc: : A Scalable On-Chip Communication Architecture

asoc: : A Scalable On-Chip Communication Architecture asoc: : A Scalable On-Chip Communication Architecture Russell Tessier, Jian Liang,, Andrew Laffely,, and Wayne Burleson University of Massachusetts, Amherst Reconfigurable Computing Group Supported by

More information

ADPCM-LCO Voice Compression Logic Core

ADPCM-LCO Voice Compression Logic Core ADPCM-LCO Voice Compression Logic Core Functional Description The ADPCM-LCO logic core [Adaptive Differential Pulse Code Modulation-Low Channel count Optimized] is a peripheral for digital voice compression/de-compression

More information

The Design Complexity of Program Undo Support in a General Purpose Processor. Radu Teodorescu and Josep Torrellas

The Design Complexity of Program Undo Support in a General Purpose Processor. Radu Teodorescu and Josep Torrellas The Design Complexity of Program Undo Support in a General Purpose Processor Radu Teodorescu and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Processor with program

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

FPGAs: : Quality through Model Based Design and Implementation

FPGAs: : Quality through Model Based Design and Implementation FPGAs: : Quality through Model Based Design and Implementation Yves LaCerte Rockwell Collins Advanced Technology Center 400 Collins Road N.E. Cedar Rapids, IA 52498 ylacerte@rockwellcollins.cm Yang Zhu

More information

Early Performance-Cost Estimation of Application-Specific Data Path Pipelining

Early Performance-Cost Estimation of Application-Specific Data Path Pipelining Early Performance-Cost Estimation of Application-Specific Data Path Pipelining Jelena Trajkovic Computer Science Department École Polytechnique de Montréal, Canada Email: jelena.trajkovic@polymtl.ca Daniel

More information

The Design Complexity of Program Undo Support in a General-Purpose Processor

The Design Complexity of Program Undo Support in a General-Purpose Processor The Design Complexity of Program Undo Support in a General-Purpose Processor Radu Teodorescu and Josep Torrellas Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Outline Introduction System development Video capture Image processing Results Application Conclusion Bibliography

Outline Introduction System development Video capture Image processing Results Application Conclusion Bibliography Real Time Video Capture and Image Processing System using FPGA Jahnvi Vaidya Advisors: Dr. Yufeng Lu and Dr. In Soo Ahn 4/30/2009 Outline Introduction System development Video capture Image processing

More information

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 Features Small, low cost, ready to run 386EX, 25 or 33MHz Up to 32MB DRAM CompactFlash connector Optional PC Card interface for Wi-Fi, modem,

More information

Chapter 10. PeaCE design flow overview

Chapter 10. PeaCE design flow overview Chapter 10. PeaCE design flow overview Author: Soonhoi Ha, Dohyung Kim, and Youngmin Yie This chapter shows the overview of the overall HW/SW codesign flow in PeaCE environment. The following chapters

More information

Linux Driver and Embedded Developer

Linux Driver and Embedded Developer Linux Driver and Embedded Developer Course Highlights The flagship training program from Veda Solutions, successfully being conducted from the past 10 years A comprehensive expert level course covering

More information

TOE1G-IP Multisession Reference design manual Rev May-17

TOE1G-IP Multisession Reference design manual Rev May-17 TOE1G-IP Multisession Reference design manual Rev1.0 19-May-17 1. Overview It is recommended to read dg_toe1gip_refdesign_xilinx_en.pdf document which is half duplex demo of TOE1G-IP firstly. It will help

More information

CS303 LOGIC DESIGN FINAL EXAM

CS303 LOGIC DESIGN FINAL EXAM JANUARY 2017. CS303 LOGIC DESIGN FINAL EXAM STUDENT NAME & ID: DATE: Instructions: Examination time: 100 min. Write your name and student number in the space provided above. This examination is closed

More information

An Infrastructural IP for Interactive MPEG-4 SoC Functional Verification

An Infrastructural IP for Interactive MPEG-4 SoC Functional Verification International Journal on Electrical Engineering and Informatics - Volume 1, Number 2, 2009 An Infrastructural IP for Interactive MPEG-4 SoC Functional Verification Trio Adiono 1, Hans G. Kerkhoff 2 & Hiroaki

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

DEMO. The Professional Software Suite for Automatic Control Design and Forecasting. EICASLAB Demo RT-emb

DEMO. The Professional Software Suite for Automatic Control Design and Forecasting. EICASLAB Demo RT-emb TM EICASLAB DEMO The Professional Software Suite for Automatic Control Design and Forecasting EICASLAB Demo RT-emb EICASLAB Demo RT-emb Just 1 Software Suite Just 1 Project ALL the design phases The operative

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design Ahmed Amine JERRAYA EPFL November 2005 TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

Process Scheduling Queues

Process Scheduling Queues Process Control Process Scheduling Queues Job queue set of all processes in the system. Ready queue set of all processes residing in main memory, ready and waiting to execute. Device queues set of processes

More information

Mapping the AVS Video Decoder on a Heterogeneous Dual-Core SIMD Processor. NikolaosBellas, IoannisKatsavounidis, Maria Koziri, Dimitris Zacharis

Mapping the AVS Video Decoder on a Heterogeneous Dual-Core SIMD Processor. NikolaosBellas, IoannisKatsavounidis, Maria Koziri, Dimitris Zacharis Mapping the AVS Video Decoder on a Heterogeneous Dual-Core SIMD Processor NikolaosBellas, IoannisKatsavounidis, Maria Koziri, Dimitris Zacharis University of Thessaly Greece 1 Outline Introduction to AVS

More information

Connectal. A Framework for So2ware- Driven Hardware Development. Myron King, Jamey Hicks, John Ankcorn Quanta Research Cambridge

Connectal. A Framework for So2ware- Driven Hardware Development. Myron King, Jamey Hicks, John Ankcorn Quanta Research Cambridge Connectal A Framework for So2ware- Driven Hardware Development Myron King, Jamey Hicks, John Ankcorn Quanta Research Cambridge Suppose you want to build a wheeled zedboard robot Buy robot kit Some assembly

More information

Prototyping Architectural Support for Program Rollback Using FPGAs

Prototyping Architectural Support for Program Rollback Using FPGAs Prototyping Architectural Support for Program Rollback Using FPGAs Radu Teodorescu and Josep Torrellas http://iacoma.cs.uiuc.edu University of Illinois at Urbana-Champaign Motivation Problem: Software

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

OS structure. Process management. Major OS components. CSE 451: Operating Systems Spring Module 3 Operating System Components and Structure

OS structure. Process management. Major OS components. CSE 451: Operating Systems Spring Module 3 Operating System Components and Structure CSE 451: Operating Systems Spring 2012 Module 3 Operating System Components and Structure Ed Lazowska lazowska@cs.washington.edu Allen Center 570 The OS sits between application programs and the it mediates

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Scalable Distributed Memory Machines

Scalable Distributed Memory Machines Scalable Distributed Memory Machines Goal: Parallel machines that can be scaled to hundreds or thousands of processors. Design Choices: Custom-designed or commodity nodes? Network scalability. Capability

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

PICOBLAZE INTERRUPT INTERFACE

PICOBLAZE INTERRUPT INTERFACE CHAPTER 17 PICOBLAZE INTERRUPT INTERFACE 17.1 INTRODUCTION During normal program execution, a microcontroller polls the I/O peripherals (i.e., checks the status signals) and determines the course of action

More information

Operating System: Chap13 I/O Systems. National Tsing-Hua University 2016, Fall Semester

Operating System: Chap13 I/O Systems. National Tsing-Hua University 2016, Fall Semester Operating System: Chap13 I/O Systems National Tsing-Hua University 2016, Fall Semester Outline Overview I/O Hardware I/O Methods Kernel I/O Subsystem Performance Application Interface Operating System

More information

Lesson 5: Software for embedding in System- Part 2

Lesson 5: Software for embedding in System- Part 2 Lesson 5: Software for embedding in System- Part 2 Device drivers, Device manager, OS, RTOS and Software tools 1 Outline Device drivers Device manager Multitasking using an operating system (OS) and Real

More information

COE758 Digital Systems Engineering

COE758 Digital Systems Engineering COE758 Digital Systems Engineering Project #1 Memory Hierarchy: Cache Controller Objectives To learn the functionality of a cache controller and its interaction with blockmemory (SRAM based) and SDRAM-controllers.

More information

Virtualization. Pradipta De

Virtualization. Pradipta De Virtualization Pradipta De pradipta.de@sunykorea.ac.kr Today s Topic Virtualization Basics System Virtualization Techniques CSE506: Ext Filesystem 2 Virtualization? A virtual machine (VM) is an emulation

More information

Inter-Process Communication and Synchronization of Processes, Threads and Tasks: Lesson-1: PROCESS

Inter-Process Communication and Synchronization of Processes, Threads and Tasks: Lesson-1: PROCESS Inter-Process Communication and Synchronization of Processes, Threads and Tasks: Lesson-1: PROCESS 1 Process Concepts 2 Process A process consists of executable program (codes), state of which is controlled

More information

Optimizing Models of an FPGA Embedded System. Adam Donlin Xilinx Research Labs September 2004

Optimizing Models of an FPGA Embedded System. Adam Donlin Xilinx Research Labs September 2004 Optimizing Models of an FPGA Embedded System Adam Donlin Xilinx Research Labs September 24 Outline Target System Architecture Model Optimizations and Simulation Impact Port Datatypes Threads and Methods

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

Reference Model and Scheduling Policies for Real-Time Systems

Reference Model and Scheduling Policies for Real-Time Systems ESG Seminar p.1/42 Reference Model and Scheduling Policies for Real-Time Systems Mayank Agarwal and Ankit Mathur Dept. of Computer Science and Engineering, Indian Institute of Technology Delhi ESG Seminar

More information

Homework / Exam. Return and Review Exam #1 Reading. Machine Projects. Labs. S&S Extracts , PIC Data Sheet. Start on mp3 (Due Class 19)

Homework / Exam. Return and Review Exam #1 Reading. Machine Projects. Labs. S&S Extracts , PIC Data Sheet. Start on mp3 (Due Class 19) Homework / Exam Return and Review Exam #1 Reading S&S Extracts 385-393, PIC Data Sheet Machine Projects Start on mp3 (Due Class 19) Labs Continue in labs with your assigned section 1 Interrupts An interrupt

More information

Extending TASTE through integration with Space Studio

Extending TASTE through integration with Space Studio Extending TASTE through integration with Space Studio Guy Bois, Laurent Moss - Space Codesign Systems Marc Pollina, Yan Leclerc - M3 Systems www.spacecodesign.com Outline 1) Overview of the Space Studio

More information

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10 System-level simulation (/SW co-simulation) Outline Problem statement Simulation and embedded system design functional simulation performance simulation POLIS implementation partitioning example implementation

More information

ProtoFlex: FPGA Accelerated Full System MP Simulation

ProtoFlex: FPGA Accelerated Full System MP Simulation ProtoFlex: FPGA Accelerated Full System MP Simulation Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai Computer Architecture Lab at Our work in this area has been supported in part

More information

RAMP-White / FAST-MP

RAMP-White / FAST-MP RAMP-White / FAST-MP Hari Angepat and Derek Chiou Electrical and Computer Engineering University of Texas at Austin Supported in part by DOE, NSF, SRC,Bluespec, Intel, Xilinx, IBM, and Freescale RAMP-White

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5.

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5. DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6502- MICROPROCESSORS AND MICROCONTROLLERS UNIT I: 8085 PROCESSOR PART A 1. What is the need for ALE signal in

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

Architecture of 8085 microprocessor

Architecture of 8085 microprocessor Architecture of 8085 microprocessor 8085 consists of various units and each unit performs its own functions. The various units of a microprocessor are listed below Accumulator Arithmetic and logic Unit

More information

ADPCM-HCO Voice Compression Logic Core

ADPCM-HCO Voice Compression Logic Core ADPCM-HCO Voice Compression Logic Core Functional Description The ADPCM-HCO logic core [Adaptive Differential Pulse Code Modulation-High Channel count Optimized] is a peripheral for digital voice compression

More information

HDL Interoperability & IP-based System Verification

HDL Interoperability & IP-based System Verification HDL Interoperability & IP-based System Verification Dennis Brophy Director of Strategic Business Development 1 ModelSim April 2000 Mixed-HDL Issues Why mix HDLs? Use of blocks of IP in the other language.

More information

Chapter 2 Computer-System Structure

Chapter 2 Computer-System Structure Contents 1. Introduction 2. Computer-System Structures 3. Operating-System Structures 4. Processes 5. Threads 6. CPU Scheduling 7. Process Synchronization 8. Deadlocks 9. Memory Management 10. Virtual

More information

Performance Tuning on the Blackfin Processor

Performance Tuning on the Blackfin Processor 1 Performance Tuning on the Blackfin Processor Outline Introduction Building a Framework Memory Considerations Benchmarks Managing Shared Resources Interrupt Management An Example Summary 2 Introduction

More information

Verification of Multiprocessor system using Hardware/Software Co-simulation

Verification of Multiprocessor system using Hardware/Software Co-simulation Vol. 2, 85 Verification of Multiprocessor system using Hardware/Software Co-simulation Hassan M Raza and Rajendra M Patrikar Abstract--Co-simulation for verification has recently been introduced as an

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

Field Programmable Gate Array Application for Decoding IRIG-B Time Code

Field Programmable Gate Array Application for Decoding IRIG-B Time Code Field Programmable Gate Array Application for Decoding IRIG-B Time Code Item Type text; Proceedings Authors Brown, Jarrod P. Publisher International Foundation for Telemetering Journal International Telemetering

More information

5I23 ANYTHING I/O MANUAL

5I23 ANYTHING I/O MANUAL 5I23 ANYTHING I/O MANUAL 1.7 This page intentionally not blank - 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Reconfigurable Computing. Design and Implementation. Chapter 4.1

Reconfigurable Computing. Design and Implementation. Chapter 4.1 Design and Implementation Chapter 4.1 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design In System Integration System Integration Rapid Prototyping Reconfigurable devices (RD) are usually

More information

RTOS-Centric Hardware/Software Cosimulator for Embedded System Design

RTOS-Centric Hardware/Software Cosimulator for Embedded System Design RTOS-Centric Hardware/Software Cosimulator for Embedded System Design Shinya Honda Takayuki Wakabayashi Hiroyuki Tomiyama Hiroaki Takada Department of Information and Computer Science Toyohashi University

More information

Observability in Multiprocessor Real-Time Systems with Hardware/Software Co-Simulation

Observability in Multiprocessor Real-Time Systems with Hardware/Software Co-Simulation Observability in Multiprocessor Real-Time Systems with /Software Co-Simulation Mohammed El Shobaki Mälardalen University, IDt/CUS P.O. Box 833, S-721 23 Västerås, Sweden E-mail: mohammed.el.shobaki@mdh.se

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Reconfigurable Computing. Design and implementation. Chapter 4.1

Reconfigurable Computing. Design and implementation. Chapter 4.1 Reconfigurable Computing Design and implementation Chapter 4.1 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing In System Integration Reconfigurable

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

An Infrastructural IP for Interactive MPEG-4 SoC Functional Verification

An Infrastructural IP for Interactive MPEG-4 SoC Functional Verification ITB J. ICT Vol. 3, No. 1, 2009, 51-66 51 An Infrastructural IP for Interactive MPEG-4 SoC Functional Verification 1 Trio Adiono, 2 Hans G. Kerkhoff & 3 Hiroaki Kunieda 1 Institut Teknologi Bandung, Bandung,

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

MLR INSTITUTE OF TECHNOLOGY DUNDIGAL , HYDERABAD QUESTION BANK

MLR INSTITUTE OF TECHNOLOGY DUNDIGAL , HYDERABAD QUESTION BANK MLR INSTITUTE OF TECHNOLOGY DUNDIGAL - 500 043, HYDERABAD QUESTION BANK Course Name : EMBEDDED SYSTEMS Course Code : A57043 Class : IV B. Tech I Semester Branch : ECE Year : 2015 2016 Course Faculty :

More information

23. Digital Baseband Design

23. Digital Baseband Design 23. Digital Baseband Design Algorithm-to-VLSI Circuit Refinement (Floating Point) Tradeoff (SNR Loss, BER) (Fixed Point) VHDL, Verilog VHDL, Verilog Memory Control For I=0 to I=15 Sum = Sum + array[i]

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information