100M Gate Designs in FPGAs

Size: px
Start display at page:

Download "100M Gate Designs in FPGAs"

Transcription

1 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems

2 Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive Low power or lack thereof Too much unnecessary stuff Prototyping? That makes sense! Initial systems and/or proof of concept (e.g. base stations) Pre-silicon chip (ASIC) verification Early embedded software & firmware development HW/SW integration and bring-up Let s have a closer look Cadence Design Systems, Inc. for NMI FPGA Network

3 System Design Challenges Bridging the hardware-software convergence gap Time-to-market Development cost reduction Multi-core design and verification complexity Integration of new designs and derivatives Software stack development Hardware-software convergence Cadence Design Systems, Inc. for NMI FPGA Network

4 It s all about the software Software dominates development cost and schedules Software is a necessity to successfully sell silicon Delay of software delivery delays time to revenue Source: IBS Starting software development as early as possible is crucial Source: IBS Cadence Design Systems, Inc. for NMI FPGA Network

5 Until you need some hardware! Connecting to the real world A complete environment that applies real-world stimulus Provide a physical interface allowing to quickly integrate with external systems, networks, and/or test equipment Allowing design teams to validate the design with real-world applications such as booting the operating system, transferring files, and displaying graphics /video System environment Real-world interfaces (real-time speed) USB / SATA / SAS 4G/ LTE Analyzer / Tester Pre-silicon RTL model Protium Ethernet Tester Live Network PCI Express Video/Audio Cadence Design Systems, Inc. for NMI FPGA Network

6 Using the right tool, at the right time Key to success Hardware Debugging Software Development and System Validation 100% Workload Design Creation 0% RTL Ready Tapeout Test Chip Cadence Design Systems, Inc. for NMI FPGA Network

7 Keys for success, and fast prototype bring-up No FPGA -specific design/rtl modifications needed Handling of any clocking structure and any number of clocks Automatic memory compilation & modeling Fully automatic, multi-fpga partitioning Optional, manual guidance for performance optimization Pre FPGA P&R model validation Multiple design integrations per day Avoids time consuming FPGA P&R runs Fully integrated FPGA P&R Automatic constraint generation Guaranteed P&R success Cadence Design Systems, Inc. for NMI FPGA Network

8 Cadence multi-fabric compile flow Months Days Traditional FPGA Prototype Flow ASIC RTL (Verilog / VHDL / SV) Debug probes and trigger conditions 1-2 days per iteration Fast prototype bring-up Golden pre-partition model Post-partition verification model All Palladium debug features Verification model to validate FPGA functionality 1-2h per iteration Integrated Compile Engine Memory compiler Board file HDL-ICE for fast compile Partitioning Board router FPGA P&R FPGA bit files Debug inserter Manual partition directives 60M Gates/Hour Functional assurance before P&R Multiple Iterations Optional Independent re-run of selected tests for debug Waveforms of probes Cadence Design Systems, Inc. for NMI FPGA Network

9 Advanced clocking any type; any number Traditional imitations: Gated clock, multiplexed clocks # of clocks Difficult to achieve FPGA timing closure Long iteration times / long FPGA P&R times Unpredictable results & prototype behavior Protium benefits: No hold-time violations in user clock domains Removes any FPGA-specific clock limitations Supports unlimited # of design clocks Improves FPGA timing closure Accelerates FPGA P&R times Cadence Design Systems, Inc. for NMI FPGA Network

10 Comprehensive, automated memory support The conversion and implementation of memories is one of the most challenging and time-consuming steps in the bring-up of an FPGA-based prototype system, often taking many weeks to complete Type Size Upload/ download Comments FPGA-internal ~50Mbits/FPGA Yes Fully automatic compile XSRAM (automated small external memory) XDRAM (automated bulk memory) DCMC (Direct connected memory card) 128 Mbytes per memory card 16 GBytes per XDRAM card x GBytes (depending on memories used) Yes Yes No Fully automatic compile Extends FPGA-internal memory to external SRAM Useful for SPI-flash and other small memories (e.g. boot ROM) semi automatic compile Leverages XDRAM hardware Support for DDR3/4, LPDDR3/4 Design change may be required, depending on memory type App notes available The memory compile capabilities in the Protium platform are comprehensive and easy to use: Smaller memories are fully automatically compiled into FPGA-internal memory resources For larger, off-fpga memories, Protium platform offers several solutions; which one to use depends on specific requirements and objectives FCMC (Full-custom memory card) custom No Full custom development Cadence Design Systems, Inc. for NMI FPGA Network

11 Automatic, multi-fpga partitioning - adjustable performance Unique to Protium Fully automatic: Clock-tree transformation ASIC memory mapping Partitioning FPGA P&R Black-boxing: Single FPGA scope FPGA-specific optimization Direct clock mapping Directly connected bulk memories FPGA P&R options and constraints Manual guidance: Partitioning input Directly connected bulk memories FPGA P&R options and constraints Logic replication Clock-tree simplification ASIC RTL (Verilog / VHDL / SV) Memory compiler Board file Integrated Compile Engine HDL-ICE for fast compile Partitioning Board router FPGA P&R FPGA bit files Debug probes and trigger conditions Debug inserter Manual partition directives Blackbox RTL Vivado FPGA Synthesis FPGA Netlist Cadence Design Systems, Inc. for NMI FPGA Network

12 Enhancing FW/SW debug The basics JTAG debugger interface UART interface Fast system configuration What really makes a difference Backdoor memory access to quickly change boot code, software, etc. Clock control to start/stop the clock on demand Fully scriptable runtime environment Remote access prototype system is a network resource, accessible anytime from anywhere High-performance link to software model Cadence Design Systems, Inc. for NMI FPGA Network

13 Debug in Protium Unique to Protium Waveforms across partitions Provides a design-centric view rather than an FPGA-centric view Force/release signal Forces predefined signals (at compile time) into 0 or 1 during runtime Memory upload and download Monitor signal Real-time monitoring of predefined (at compile time) signals Runtime Start/stop clock capability (run N cycles) Probes Runtime data capture of predefined signals for offline waveform viewing Cadence Design Systems, Inc. for NMI FPGA Network

14 Accelerating HW/SW Development & Debug C/C++ models & test benches FPGA Prototyping CPU MEM GPU IP CPU MEM GPU IP TLM Fabric Fabric IP IP IP IP IP IP IP IP Abstract HW Models SW Execution: Up to 100MHz Debug: Full HW/SW SW: Full-Featured HW/SW: Concurrent CPU TLM Fabric Co-Prototyping MEM GPU IP RTL Fabric Cycle-accurate HW Models SW Execution: Up to 50MHz Debug SW: JTAG HW/SW: Independent SCE-MI IP IP IP IP Model Accuracy CPU Sub-System: Instruction-accurate Rest of system: cycle-accurate Key : TLM Bridge RTL SW Execution: 4-40MHz (SW application dependent) Debug SW: Full-Featured HW/SW: Concurrent Cadence Design Systems, Inc. for NMI FPGA Network

15 Protium transaction interface Enabling co-prototyping Host Protium Transactors: Chip Models Software Transactors SCE-MI 2.1 Transaction Interface Chip Designs Hardware Transactors TLM 2.0 ó Bit-level Get/Put Signal-level Snd/Rcv ( sideband ) AXI 4 Master/Slave AXI 4-Lite Master/Slave AXI 3 Master/Slave AHB Master/Slave APB Slave Applications/Uses: Connect from SystemC/C++ to RTL running in Protium Leverage 100% hardware accurate RTL with your system modeling environment Automatically build complete, custom-configured HW-to-SW transactor links over SCE-MI Custom build your own transactors using high-performance, low-latency SCE-MI 2.1 API Cadence Design Systems, Inc. for NMI FPGA Network

16 Example H.264 decoding Host Protium Compressed video H.264/VP8 FILE NAL unwrap Parse + CAVLC Inverse Quant Transformation Display Transactor Transactor Interface (AXI over SCE-MI) Uncompressed video Intra Prediction Inter Prediction Ref Frames Deblock Filter Scale / YUV2RGB Frames/sec Bandwidth (MBps) Width (pixels) Height (pixels) Silver Surfer Epic Cadence Design Systems, Inc. for NMI FPGA Network

17 UD Module Looking beyond ASIC prototyping Prototyping FPGA designs in FPGAs Benefits: Advanced debug capabilities Verification/validation platform available in days Avoid all those time consuming timing closure trial & error runs (we all know how long FPGA P&R times can be) Early software development platform available in days Applying prototyping techniques to FPGA design Benefits: Faster time to market Shorter development times lower development costs Higher product quality Example: Memory modeling with XDRAM NM B Protium FPGA X DUT DDRn Controller Upload/Download DINAR XDRAM Board DDRn I/f Logic K7 DDR3 Ctrl S O D I M M Cadence Design Systems, Inc. for NMI FPGA Network

18 Summary 25M gate FPGAs are here today 100M gate FPGAs will be here in just a few years! These high-capacity FPGAs are not for everybody Requiring ASIC design techniques & tools Main use model is for prototyping & emulation Cadence Design Systems, Inc. for NMI FPGA Network

19 Cadence Design Systems, Inc. for NMI FPGA Network

20 2016 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo and Palladium are registered trademarks and Protium is a trademark of Cadence Design Systems. All other trademarks are the property of their respective owners.

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers THE FASTEST VERIFICATION ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers White Paper April, 2010 www.eve-team.com Introduction Moore s law continues to drive

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Figure 1: Target environment includes peripherals.

Figure 1: Target environment includes peripherals. Virtualization Delivers Total Verification of SoC Hardware, Software, and Interfaces by Jim Kenney, Marketing Director, Emulation Division, Mentor Graphics With the majority of designs today containing

More information

WaferBoard Rapid Prototyping

WaferBoard Rapid Prototyping WaferBoard Rapid Prototyping WaferBoard (cover not shown) 1. Select components that are packaged in ball grid array, QFP, TSOP, etc. 2. Place the packaged components FPGAs, ASICs, processors, memories,

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE. CDNLive! Silicon Valley 2012

ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE. CDNLive! Silicon Valley 2012 ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE CDNLive! Silicon Valley 2012 Alex Starr March 13, 2012 INTRODUCTION About the author Alex

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Combining TLM & RTL Techniques:

Combining TLM & RTL Techniques: Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014 Hardware/Software Systems Software Bare Metal Applications Communications

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

High Speed Multi-User ASIC/SoC Prototyping system

High Speed Multi-User ASIC/SoC Prototyping system High Speed Multi-User ASIC/SoC Prototyping system Technical Resource Document Date: August 23, 2010 About GiDEL GiDEL has become one of the market leaders as a company that continuously provides cuttingedge

More information

SoC Verification Strategies for Embedded Systems Design

SoC Verification Strategies for Embedded Systems Design SOC Design Conference SoC Verification Strategies for Embedded Systems Design November 5-6, 2003/ Seoul Chong-Min Kyung, KAIST 1 Various Embedded Mobile Systems Data Processing Consumer Desktop PC DVC

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Introduction. White Paper. Author

Introduction. White Paper. Author White Paper Methods and Tools for Bring-Up and Debug of an FPGA-Based ASIC Prototype A mix of good methodology and automation helps to locate and resolve prototype flaws and design bugs Author Troy Scott,

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008 Atmel Exploits Flexibility in Application Development for Customizable Microcontrollerbased Peter Bishop, Atmel Corporation 22Dec2008 Introduction Designing an embedded microcontrollerbased system poses

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Virtual Array Architecture for efpga April 5, 2018

Virtual Array Architecture for efpga April 5, 2018 Virtual Array Architecture for efpga April 5, 2018 Cheng C. Wang SVP of Engineering Copyright 2014-2018 Flex Logix Technologies, Inc. Why the Need for Virtual Arrays? Security: Keep your secret sauce design

More information

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21 2. HW/SW Co-design Young W. Lim 2016-03-11 Thr Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 1 / 21 Outline 1 Software Engineering Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 2 / 21 Based on Software

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

HW & SW co-verification of baseband HSPA Processor with Seamless PSP

HW & SW co-verification of baseband HSPA Processor with Seamless PSP HW & SW co-verification of baseband HSPA Processor with Seamless PSP Zheng Li, Xuedong Yang, Bing Wang, Zhitao Lu, Lawrence Yang, James Gualdoni, Jagan Raghavendran Steven Swanchara, William Hinkel, Scott

More information

From Concept to Silicon

From Concept to Silicon From Concept to Silicon How an idea becomes a part of a new chip at ATI Richard Huddy ATI Research From Concept to Silicon Creating a new Visual Processing Unit (VPU) is a complex task involving many people

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform Youn-Long Lin Department of Computer Science National Tsing Hua University Hsin-Chu, TAIWAN 300 ylin@cs.nthu.edu.tw 2006/08/16

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks 2014 The MathWorks, Inc. 1 Traditional Implementation Workflow: Challenges Algorithm Development

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure

Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure Bill Jason Tomas 1, Yingtao Jiang 2 and Mei Yang 2 1 Cadence Design System, Inc., San Jose, CA, USA 2 Department of Electrical and

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

2001 Altera Corporation (1)

2001 Altera Corporation (1) 2001 Altera Corporation (1) SOPC Design Using ARM-Based Excalibur Devices Outline! ARM-based Devices Overview! Embedded Stripe! Excalibur MegaWizard! Verification Tools Bus Functional Model Full Stripe

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India Verifying big.little using the Palladium XP Deepak Venkatesan Murtaza Johar ARM India 1 Agenda PART 1 big.little overview What is big.little? ARM Functional verification methodology System Validation System

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research

Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research Jared Dulmage Dr. Michael P. Fitz University of California, Los Angeles Annual Research Review 1/13/2008 Traditional

More information

SDACCEL DEVELOPMENT ENVIRONMENT. The Xilinx SDAccel Development Environment. Bringing The Best Performance/Watt to the Data Center

SDACCEL DEVELOPMENT ENVIRONMENT. The Xilinx SDAccel Development Environment. Bringing The Best Performance/Watt to the Data Center SDAccel Environment The Xilinx SDAccel Development Environment Bringing The Best Performance/Watt to the Data Center Introduction Data center operators constantly seek more server performance. Currently

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE

CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE 1 2 3 CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE DEVELOPMENT BOARD Authors: Jonah Probell and Andy Young, design engineers, Lexra, Inc. 4 5 6 7 8 9 A Hardware/Software Development

More information

FPGA Co-Processing Architectures for Video Compression

FPGA Co-Processing Architectures for Video Compression Co-Processing Architectures for Compression Overview Alex Soohoo Altera Corporation 101 Innovation Drive San Jose, CA 95054, USA (408) 544-8063 asoohoo@altera.com The push to roll out high definition video

More information

Design AXI Master IP using Vivado HLS tool

Design AXI Master IP using Vivado HLS tool W H I T E P A P E R Venkatesh W VLSI Design Engineer and Srikanth Reddy Sr.VLSI Design Engineer Design AXI Master IP using Vivado HLS tool Abstract Vivado HLS (High-Level Synthesis) tool converts C, C++

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company. Appendix SystemC Product Briefs All product claims contained within are provided by the respective supplying company. Blue Pacific Computing BlueWave Blue Pacific s BlueWave is a simulation GUI, including

More information

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Doug Johnson, Applications Consultant Chris Eddington, Technical Marketing Synopsys 2013 1 Synopsys, Inc. 700 E. Middlefield Road Mountain

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information