INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 5 / JAN 2018

Size: px
Start display at page:

Download "INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 5 / JAN 2018"

Transcription

1 Implementation of an Efficient Content Addressable Memory Based on Sparse Cluster Network Basumala Chandram 1 Dr. P.Sreenivasulu 2 Dr. P. Prasanna Muralikrishna 3 chandu6240@gmail.com 1 psrinivas.vlsi@gmail.com 2 pprasannamurali@gmail.com 3 1 PG Scholar, VLSI Design, Krishna Chaitanya Institute of Technology & Sciences, Devarajugattu, Peddaaraveedu, Prakasam, Andhra Pradesh. 2 Professor, Department of ECE, Krishna Chaitanya Institute of Technology & Sciences, Devarajugattu, Peddaaraveedu, Prakasam, Andhra Pradesh. 3 Professor, Department of ECE, Krishna Chaitanya Institute of Technology & Sciences, Devarajugattu, Peddaaraveedu, Prakasam, Andhra Pradesh. Abstract: The Content-addressable memory (CAM) is frequently used in many applications, such as lookup tables, databases, associative computing, and networking, that require high-speed searches due to its ability to improve application performance by using parallel comparison to reduce search time. This paper proposes a low-control Content-Addressable Memory (CAM) using another count for agreeably between the data tag and the relating area of the yield data. This architecture is based on recently developed sparse clustered networks using binary connections that on average eliminate most of the parallel comparisons which are performed during a search using Load Store Queue (LSQ). When the input data is given with a tag, the search is performed among the stored data and when a match occurs between the input and stored value, the output will display the input data which is given. Also when an mismatch occurs between the input and stored data, the output will not be display the input value. Thus reducing the power consumption and delay estimation by using SCN- CAM. Extension of the project is Pre computation-based Content Addressable Memory. Results are observed from Microwind and DSCH software. Keywords Associative memory, Content Addressable Memory (CAM), Sparse Cluster Networks(SCNs), Load Store Queue(LSQ),Digital Schematic (DSCH). I. INTRODUCTION A Content-Addressable Memory (CAM) is a critical device for applications involving Asynchronous Transfer Mode (ATM), communication networks, Local Area Network (LAN) bridges/switches, databases, lookup tables, and tag directories, due to its high-speed data search capability. A CAM is a functional memory with a large amount of stored data that simultaneously compares the input search data with the stored data. Once matching data are found, their addresses are returned as output. CAM is a type of memory that can be accessed using its contents rather than an explicit address. CAM can be used as a coprocessor for the Network Processing Unit (NPU) to offload the table lookup tasks. CAMs are fast data parallel search circuits. Unlike standard memory circuits, for example Random Access Memory (RAM) data search is performed against all the stored information in single clock cycle. In fact CAM is out growth of RAM. While CAM's are widely used in many applications like memory mapping, cache controllers for central processing unit, data compression and coding etc. It s primary application is fast Internet Protocol (IP) package classification and forwarding at high speed network routers and processors. IP routing is accomplished by examination of the protocol header field s i.e. the originating and destination address, the incoming and outgoing ports against stored information in the routing tables. If a match is registered the package is forwarded towards the port(s) defined in the table. On very high speed networks and huge traffic volume the task is to be performed in fast and massive parallelism. However, managing high speeds and large lookup tables requires silicon area and power consumption. The power dissipation, silicon area and the speed are three major challenges for designers. Since there is always trade-off between them. The circuit structure of a CAM word which is made of CAM cells. A CAM cell compares its stored bit against its corresponding search bit provided on the Search Line (SL). The combined search result for the entire word is generated on the Match-Line (ML). The

2 Match -Line Sense Amplifier (MLSA) senses the state of the ML and outputs a full logic swing signal. At the circuit level, research has focused on saving power by reducing the ML and SL signal swing or using low-power current-based approaches. Even with these circuit innovations, the simple CAM circuit structure can be designed that has a very high power consumption if it is used directly to construct a large capacity CAM. II. OVERVIEW OF CAM In the conventional CAM array, each entry of a tag that, if matched with the input, points to the location of a data word in a Static Random Access Memory (SRAM) block. The actual data are stored in the SRAM and a tag is simply a reference to it. Therefore, when it is required to search a data in the SRAM, it suffices to search for its corresponding tag. Figure: 1.Typical CAM Array Search line drivers are used to store the input bits. The register applies the search data on the differential search lines, which is shared among the entries. Then the search data are compared with the CAM entries. Each CAM-word is attached to a match line among its constituent bits, which indicates, whether they match with the input bits. III. LITERATURE REVIEW Fully parallel Content Addressable Memory (CAM) LSI using a compact dynamic Content Addressable Memory cell with a stackedcapacitor structure and a novel hierarchical priority encoder [1]. The proposed CAM cell is shown in Figure 1. It consists of five NMOS transistors and two stacked capacitors. Four of the transistors (Ms0, Ms1, Mw0, Mw1) are used to store and access data, and one (Md) is used as a diode to isolate current paths during match operations. Charges are stored on stacked capacitors (Cs0, Cs1) and the Ms0 and Ms1 gates. The opposite electrodes of the Cs0 and Csl are connected to a cell plate voltage Vcp, which is equal to half Vcc (Vcc: supply voltage). Two bit lines are supplied with data in write and search operations. The Word Line (WL) allows write access to each cell in a word. The match line (ML) passes through a word to perform a logical AND of the results of each cell s comparison. The ML is also used to read cell data. The storage capacitor (Cs0) is stacked on the gate of the Ms0 and Mw0. The gate electrodes of the Ms0 and Mw0are fabricated with the first poly-si layer [1]. The stacked capacitor is composed of the second poly-si layer, the insulator film, and the third poly-si layer. The second poly-si layer (storage node) is also used to connect the drain (or source) of the Mw0 to the gate of the Ms0.Similarly, the storage capacitor (Csl) is formed on the gate of the Msl and Mwl. A write operation is performed by activating a select WL and then driving the bit lines according to the write data. A read operation is accomplished by discharging the bit lines and then driving a selected ML to a high level. A read operation is accomplished by discharging the bit lines and then driving a selected ML to a high level. A match operation is achieved by pre charging both the bit lines and the match lines to a high level, and then loading the bit lines with search data. When match occurs at several words in a search operation (multiple response), the CAM outputs the address of the matched word with the highest priority. A Priority Encoder (PE) circuit is utilized for multiple-response resolution and match address generation [1]. As a bit capacity of Content Addressable Memory s becomes larger, the number of words increases rather than the bit length of words. Thus in a high density Content Addressable Memory chip, the configuration is such that the cell array is divided into several blocks. This creates a serious problem concerning the layout of the priority encoder. When the priority encoder is incorporated in each block, the silicon area occupied by the priority encoder and the power dissipation of the priority encoder are increased in proportion to the number of divided blocks. So in order to overcome this, novel hierarchical priority encoder architecture suitable for high-density CAM was proposed.

3 Energy reduction of CAMs employing circuit-level techniques are mostly based on the following strategies: 1) reducing the SL energy consumption by disabling the pre-charge process of SLs when not necessary, and 2) reducing the ML precharging, for example, by segmenting the ML, selectively pre-charging the first few segments and then propagating the pre-charge process if and only if those first segments match. This segmentation strategy increases the delay as the number of segments is increased. A hybrid-type CAM integrates the lowpower feature of NAND type with the highperformance NOR type [12] while similar to selective pre charging method, the ML is segmented into two portions. The high-speed CAM designed in 32-nm CMOS [1] achieves the cycle time of 290ps using a swapped CAM cell that reduces the search delay while requiring a larger CAM cell transistors than a conventional CAM cell transistors used in SCN-CAM. A high-performance AND-type match-line, where multiple fan-in AND gates are used for low switching activity along with segmented-style match-line evaluation to reduce the energy consumption. After loading, the activated data will be displayed in the Random Access Memory. When there is a match in the memory the output will be displayed. When the match doesn t occur the output will not be displayed. A. SCN-CAM The Content Addressable Memory is designed based on Sparse Cluster Network which reduces the comparisons during the search. When the data is given inside the SCN, it enables the data that is stored inside the CAM. When the data is enabled, there is a match between the input and stored value. The output will be displayed when there occurs a match between the stored data. IV.PROPOSED SCN-CAM The Content Addressable Memory based Sparse Cluster Network is designed using binary connections that eliminates the parallel comparisons during the search. When an input data is given inside the network, it is compared with the stored data. When the match occurs between the input and stored value, it eliminates the other data stored. This reduces the power consumption of an SCN-CAM. The blocks of Sparse Cluster Network CAM (SCN- CAM) consists of an SCN-based classifier, which is connected to a special-purpose CAM array. The SCN-based classifier is first trained with the association between the tags and the address of the data to be later retrieved. The CAM array is based on a typical architecture, but is divided into several sub-blocks that can be compare-enabled independently. So, when the input data is given inside the SCN, it will consider the few possibilities of matching data that are similar to the input value. Now, this data will be given inside the CAM blocks. The CAM blocks will compare the input value with the data that have already stored in it. When there occur a match between the input and stored value, the output will be activated. Once the sub-blocks are activated, the tag is compared against the few entries in them while keeping the rest deactivated. Then the data is loaded inside the Queue. Figure: 2. SCN-CAM Figure 2 shows the block of Proposed SCN CAM. Here when the input is given inside the network, that data will be searched inside the network. When there is a match occurred between the stored data, the output will be displayed in the data out pin. The pass/fail pin is used to display pass value during the functional mode operation. The fail condition is displayed during the test mode operation. B. Architecture of SCN based Classifier The proposed architecture (SCN-CAM) consists of an SCN-based classifier, which is connected to a special-purpose CAM array. The classifier is at first trained with the association

4 between the tags and the address of the data to be retrieved later. The proposed CAM array is based on a typical architecture, but is divided into several subblocks which can be activated independently. Therefore, it is also possible to train the network with the associatively between the tag and each CAM subblock, if the number of desired sub blocks is known. However, in this paper, the focus is on a generic architecture that can be easily optimized for any number of CAM sub-blocks. Once an input tag is given to the SCN-based classifier, it predicts which CAM sub-block(s) need to be activated and thus saves the dynamic power by disabling the remaining sub-blocks. In this paper the possibility of reducing the number of comparisons to only one in average is shown. SCN-CAM uses only a portion of the actual tag to create or recover the association with the corresponding output. The operation of the CAM, on average, allows this reduction in the tag length. A large enough tag length permits SCN-CAM to always point to a single subblock. However, the length of reduced-length tag affects the hardware complexity of the SCN-based classifier. The length of the reduced-length tag is not dependent on the length of the original tag but rather dependent on the number of CAM entries. Figure: 3. Top level view of SCN CAM Tag Decoding Once the SCN-based classifier has been trained, the ultimate objective after receiving the tag is to find out which neuron(s) in PII should be activated based on the given q bits of the tag. This process is called decoding in which the connection values are recalled from the memory. The decoding process is divided into four steps. 1. An input tag is reduced in length to q bits and divided into c equally sized partitions. The q bits of the entire tag can be selected in such a way to reduce the correlation. 2. Local Decoding (LD): A single neuron per cluster in PI is activated by using a direct binary-tointeger mapping from the tag portion to the index of the neuron to be activated. 3. Global Decoding (GD): GD determines which neuron(s) in PII must be activated based on the results from LD and the stored connection values. If there is at least one active connection from each cluster in PI toward a neuron in PII, that neuron is activated. GD can be expressed. V. EXTENSION PB-CAM Architecture Fig. 4 shows the memory organization of the PB-CAM architecture proposed by Lin et al., which consists of data memory, parameter memory, and parameter extractor, where k << n. To reduce massive comparison operations for data searches, the operation is divided into two parts. In the first part, the parameter extractor extracts a parameter from the input data, which is then compared to parameters stored in parallel in the parameter memory. If no match is returned in the first part, it means that the input data mismatch the data related to the stored parameter. Otherwise, the data related to those stored parameters have to be compared in the second part. It should be noted that although the first part must access the entire parameter memory, the parameter memory is far smaller than that of the CAM (data memory). Moreover, since comparisons made in the first part have already filtered out the unmatched data, the second part only needs to compare the data that match from the first part. The PB-CAM exploits this characteristic to reduce the comparison operations, thereby saving power. Therefore, the parameter extractor of the PB-CAM is critical, because it determines the number of comparison operations in the second part. As we stated previously, the parameter extractor plays a significant role since this circuit determines the number of comparison operations required in the second part. Therefore, the design goal of the parameter extractor is to filter out as many unmatched data as possible to minimize the required number of comparison operations in the second part. Lin et al. adopted the ones-count function to perform parameter extraction. For ones count approach, with an n-bit data length, there are n+1 types of ones count (from 0 ones to n ones count). Further, it is necessary to add an extra type of ones count to indicate the availability of stored data. Therefore, the minimal bit length of the parameter is equal to log(n + 2). The

5 parameter extractor for the ones-count approach is implanted with full adders. Layout Design of SCN-CAM. Simulation Result of SCN-CAM. Figure: 4. Memory organization of the PB-CAM architecture VI. RESULTS Schematic Design of Proposed SCN-CAM. VII. CONCLUSION The design of low power CAM based on SCN using LSQ technique is introduced here. SCN- CAM using LSQ is suitable for low power applications, where frequent and parallel look-up operations are required. SCN-CAM employs an SCNbased classifier, which is connected to several independently compare-enabled CAM sub-blocks some of which are enabled once a tag is presented to the SCN-based classifier. By using independent nodes in the output part of SCN- CAM s training network, simple and fast updates can be achieved without

6 retaining the network entity. SCN-CAM using LSQ eliminates most of the parallel comparison during the operation. In other words, a fail condition will be occurred due to the mismatched condition. As a result of this analysis it came to conclusion that the proposed content- addressable memory architecture with sparse clustered network is more advantageous and reliable one as compared to other architecture sparse clustered network content addressable memory employs a novel associatively mechanism based on a recently developed family of associative memories based on sparse cluster network. REFERENCES [1] Yamagata T, Mihara M, Hamamoto T, Murai Y, Kobayashi T, A 288-kb Fully Parallel Content Addressable Memory Using a Stacked-Capacitor Cell Structure, December [2] Schultz K and Gulak P, Fully parallel integrated CAM/RAM using pre classification to enable large capacities, J. Solid-State Circuits, May 1996; 5, [3] Zukowski C and Wang S Y, Use of selective Pre charge for low power on the match lines of content-addressable memories, Aug. 1997; [4] Lin C S, Chang J C, and Liu B D, A low power pre computation based fully parallel contentaddressable memory, J. Solid-State Circuits, April 2003; 4, [5] Pagiamtzis K and Sheikholeslami K, Pipelined match-lines and hierarchical search-lines for low power content-addressable memories, Sep. 2003; 39, [6] Ruan S J, Wu C Y, and Hsieh J Y, Low power design of pre computation-based content addressable memory, March [7] Onizawa N, Matsunaga S, Gaudet V C, and Hanyu T, High throughput low-energy content addressable memory based on self-timed overlapped search mechanism, May 2012; [8] Jarollahi H, Onizawa N, Hanyu T and Gross W J, Associative Memories Based on MultipleValued Sparse Clustered Networks, July [9] Jarollahi H, Gripon V, Onizawa N, and Gross W J, Algorithm and Architecture for a Low-Power Content-Addressable Memory Based on Sparse clustered Networks, April [10] Gripon V and Berrou C, Sparse neural networks with large learning diversity, [11] Pagiamtzis K and Sheikholeslami A, Content Addressable Memory (CAM) Circuits and Architectures: A Tutorial and Survey, J Solid State Electronics M arch, [12] Peng M and Azgomi S, Content-Addressable memory (CAM) and its network applications, 1996 BIOGRAPHIES: B.Chandram is currently a PG scholar of VLSI Design in The Stream of Electronics and Communications in Krishna Chaitanya Institute of Technology & Sciences, Devarajugattu, Markapur. He received B.TECH degree from QIS Institute of Techonolgy, Ongole, JNT University, Kakinada. Dr. P. SREENIVASULU is currently working as an Professor with the Department of Electronics & Communication Engineering, KITS, Markapur. He reccived the Doctorate in Ultra power VLSI, from JNT University, Kakinada.

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VI /Issue 4 / AUG 2016

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VI /Issue 4 / AUG 2016 Implementation of Content Addressable Memory Based on Sparse Cluster Network using Load Store Queue Technique D.SATEESH KUMAR, EMAILID: Sateeshkumard4@Gmail.Com D.AJAY KUMAR M.E ASST.PROFESSOR,EMAILID:

More information

Survey on Content Addressable Memory and Sparse Clustered Network

Survey on Content Addressable Memory and Sparse Clustered Network Survey on Content Addressable Memory and Sparse Clustered Network 1 Aswathy K.S., 2 K. Gnana Sheela 1,2 Department of Electronics and Communication, Toc H Institute of Science and Technology Kerala, India

More information

Implementation of SCN Based Content Addressable Memory

Implementation of SCN Based Content Addressable Memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 48-52 www.iosrjournals.org Implementation of

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

Content Addressable Memory Architecture based on Sparse Clustered Networks

Content Addressable Memory Architecture based on Sparse Clustered Networks IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. III (Nov. - Dec. 2016), PP 21-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Content Addressable Memory

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article A POWER EFFICIENT CAM DESIGN USING MODIFIED PARITY BIT MATCHING TECHNIQUE Karthik

More information

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY Latha A 1, Saranya G 2, Marutharaj T 3 1, 2 PG Scholar, Department of VLSI Design, 3 Assistant Professor Theni Kammavar Sangam College Of Technology, Theni,

More information

Content Addressable Memory with Efficient Power Consumption and Throughput

Content Addressable Memory with Efficient Power Consumption and Throughput International journal of Emerging Trends in Science and Technology Content Addressable Memory with Efficient Power Consumption and Throughput Authors Karthik.M 1, R.R.Jegan 2, Dr.G.K.D.Prasanna Venkatesan

More information

Content Addressable Memory Using Automatic Charge Balancing with Self-Control Mechanism and Master-Slave Match Line Design

Content Addressable Memory Using Automatic Charge Balancing with Self-Control Mechanism and Master-Slave Match Line Design Circuits and Systems, 2016, 7, 597-611 Published Online May 2016 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2016.76051 Content Addressable Memory Using Automatic Charge Balancing

More information

Design of low power Precharge-Free CAM design using parity based mechanism

Design of low power Precharge-Free CAM design using parity based mechanism Design of low power Precharge-Free CAM design using parity based mechanism S.A.Sivakumar 1, A.Swedha 2 Assistant Professor, ECE Department, Info Institute of Engineering, Coimbatore, India 1 PG Scholar,

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

Content Addressable Memory performance Analysis using NAND Structure FinFET

Content Addressable Memory performance Analysis using NAND Structure FinFET Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 1077-1084 Research India Publications http://www.ripublication.com Content Addressable Memory performance

More information

A Survey on Design of Content Addressable Memories

A Survey on Design of Content Addressable Memories A Survey on Design of Content Addressable Memories R.Prashidha, G.Shanthi, K.Priyadharshini Abstract We survey the recent developments in the design of large-capacity content addressable memory (CAM).

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Optimize Parity Encoding for Power Reduction in Content Addressable Memory Nisha Sharma, Manmeet Kaur 1 Research Scholar, Chandigarh

More information

Ternary Content Addressable Memory Types And Matchline Schemes

Ternary Content Addressable Memory Types And Matchline Schemes RESEARCH ARTICLE OPEN ACCESS Ternary Content Addressable Memory Types And Matchline Schemes Sulthana A 1, Meenakshi V 2 1 Student, ME - VLSI DESIGN, Sona College of Technology, Tamilnadu, India 2 Assistant

More information

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY Gangadhar Akurathi 1, Suneel kumar Guntuku 2 and K.Babulu 3 1 Department of ECE, JNTUK-UCEV, Vizianagaram, Andhra Pradesh, India

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique International journal of scientific and technical research in engineering (IJSTRE) www.ijstre.com Volume 1 Issue 5 ǁ August 2016. Implementation of Efficient Ternary Content Addressable Memory by Using

More information

ECEN 449 Microprocessor System Design. Memories

ECEN 449 Microprocessor System Design. Memories ECEN 449 Microprocessor System Design Memories 1 Objectives of this Lecture Unit Learn about different types of memories SRAM/DRAM/CAM /C Flash 2 1 SRAM Static Random Access Memory 3 SRAM Static Random

More information

High Performance and Energy Efficient SRAM-based Architecture for TCAM

High Performance and Energy Efficient SRAM-based Architecture for TCAM High Performance and Energy Efficient SRAM-based Architecture for TCAM Mahalakshmi R, Gayathri. M Department of VLSI Design, K. Ramakrishnan, College of Technology, India Article Info Article history:

More information

Power Gated Match Line Sensing Content Addressable Memory

Power Gated Match Line Sensing Content Addressable Memory International Journal of Embedded Systems, Robotics and Computer Engineering. Volume 1, Number 1 (2015), pp. 1-6 International Research Publication House http://www.irphouse.com Power Gated Match Line

More information

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Ting-Sheng Chen, Ding-Yuan Lee, Tsung-Te Liu, and An-Yeu (Andy) Wu Graduate Institute of Electronics Engineering, National Taiwan

More information

A Low Power Content Addressable Memory Implemented In Deep Submicron Technology

A Low Power Content Addressable Memory Implemented In Deep Submicron Technology A Low Power ontent Addressable Memory Implemented In Deep Submicron Technology Divyashree.M, Bhagya. P P.G. Student, Dept. of E&, Don Bosco Institute of Technology, Bangalore, Karnataka, India Associate

More information

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing T. Sudalaimani 1, Mr. S. Muthukrishnan 2 1 PG Scholar, (Applied Electronics), 2 Associate

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control

EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control Abstract: EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control A ternary content addressable memory (TCAM) speeds up the search process in the memory by searching through prestored

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 976 6464(Print), ISSN

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 PP 11-18 www.iosrjen.org Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory S.Parkavi (1) And S.Bharath

More information

ECEN 449 Microprocessor System Design. Memories. Texas A&M University

ECEN 449 Microprocessor System Design. Memories. Texas A&M University ECEN 449 Microprocessor System Design Memories 1 Objectives of this Lecture Unit Learn about different types of memories SRAM/DRAM/CAM Flash 2 SRAM Static Random Access Memory 3 SRAM Static Random Access

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Area Efficient Z-TCAM for Network Applications

Area Efficient Z-TCAM for Network Applications Area Efficient Z-TCAM for Network Applications Vishnu.S P.G Scholar, Applied Electronics, Coimbatore Institute of Technology. Ms.K.Vanithamani Associate Professor, Department of EEE, Coimbatore Institute

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Design of Low Power Digital CMOS Comparator

Design of Low Power Digital CMOS Comparator Design of Low Power Digital CMOS Comparator 1 A. Ramesh, 2 A.N.P.S Gupta, 3 D.Raghava Reddy 1 Student of LSI&ES, 2 Assistant Professor, 3 Associate Professor E.C.E Department, Narasaraopeta Institute of

More information

Design and Implementation of High Performance Application Specific Memory

Design and Implementation of High Performance Application Specific Memory Design and Implementation of High Performance Application Specific Memory - 고성능 Application Specific Memory 의설계와구현 - M.S. Thesis Sungdae Choi Dec. 20th, 2002 Outline Introduction Memory for Mobile 3D Graphics

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE Dhanya M. Ravi 1 1Assistant Professor, Dept. Of ECE, Indo American Institutions Technical Campus, Sankaram, Anakapalle, Visakhapatnam, Mail id: dhanya@iaitc.in

More information

Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K

Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K Mr.Arshadali Rahut Department of Electronics & Communication Engineering B.V.B College of Engineering

More information

A Single Ended SRAM cell with reduced Average Power and Delay

A Single Ended SRAM cell with reduced Average Power and Delay A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy Chapter 5B Large and Fast: Exploiting Memory Hierarchy One Transistor Dynamic RAM 1-T DRAM Cell word access transistor V REF TiN top electrode (V REF ) Ta 2 O 5 dielectric bit Storage capacitor (FET gate,

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES M. PREMKUMAR 1, CH. JAYA PRAKASH 2 1 M.Tech VLSI Design, 2 M. Tech, Assistant Professor, Sir C.R.REDDY College of Engineering,

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS K. UMAPATHY, Research scholar, Department of ECE, Jawaharlal Nehru Technological University, Anantapur,

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

1. Designing a 64-word Content Addressable Memory Background

1. Designing a 64-word Content Addressable Memory Background UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Project Phase I Specification NTU IC541CA (Spring 2004) 1. Designing a 64-word Content Addressable

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

Ripple-Precharge TCAM: A Low-Power Solution for Network Search Engines

Ripple-Precharge TCAM: A Low-Power Solution for Network Search Engines Ripple-Precharge TCAM: A Low-Power Solution for Network Search Engines Deepak S Vijayasarathi, Mehrdad Nourani, Mohammad J. Akharizadeh, Poras T. Balsara Center for Integrated Circuits & Systems The University

More information

ISSCC 2006 / SESSION 22 / LOW POWER MULTIMEDIA / 22.1

ISSCC 2006 / SESSION 22 / LOW POWER MULTIMEDIA / 22.1 ISSCC 26 / SESSION 22 / LOW POWER MULTIMEDIA / 22.1 22.1 A 125µW, Fully Scalable MPEG-2 and H.264/AVC Video Decoder for Mobile Applications Tsu-Ming Liu 1, Ting-An Lin 2, Sheng-Zen Wang 2, Wen-Ping Lee

More information

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES D.Rani, R.Mallikarjuna Reddy ABSTRACT This logic allows operation in two modes: 1) static and2) dynamic modes. DML gates, which can be switched between

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

Resource-Efficient SRAM-based Ternary Content Addressable Memory

Resource-Efficient SRAM-based Ternary Content Addressable Memory Abstract: Resource-Efficient SRAM-based Ternary Content Addressable Memory Static random access memory (SRAM)-based ternary content addressable memory (TCAM) offers TCAM functionality by emulating it with

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Objectives In this lecture you will learn the following Introduction SRAM and its Peripherals DRAM and its Peripherals 30.1 Introduction

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

POWER ANALYSIS RESISTANT SRAM

POWER ANALYSIS RESISTANT SRAM POWER ANALYSIS RESISTANT ENGİN KONUR, TÜBİTAK-UEKAE, TURKEY, engin@uekae.tubitak.gov.tr YAMAN ÖZELÇİ, TÜBİTAK-UEKAE, TURKEY, yaman@uekae.tubitak.gov.tr EBRU ARIKAN, TÜBİTAK-UEKAE, TURKEY, ebru@uekae.tubitak.gov.tr

More information

A Comparative Study of Power Efficient SRAM Designs

A Comparative Study of Power Efficient SRAM Designs A Comparative tudy of Power Efficient RAM Designs Jeyran Hezavei, N. Vijaykrishnan, M. J. Irwin Pond Laboratory, Department of Computer cience & Engineering, Pennsylvania tate University {hezavei, vijay,

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM Design and Implementation of Low Power LUT Based on Nonvolatile RRAM K.Nagaraju Department of ECE, VLSI & ES, Prakasam Engineering College, Kandukuru, Prakasam Dt, A.P. Dr.Ch.Ravi Kumar HOD, Department

More information

Design of a Low Power Content Addressable Memory (CAM)

Design of a Low Power Content Addressable Memory (CAM) Design of a Low Power Content Addressable Memory (CAM) Scott Beamer, Mehmet Akgul Department of Electrical Engineering & Computer Science University of California, Berkeley {sbeamer, akgul}@eecs.berkeley.edu

More information

Index Terms- Field Programmable Gate Array, Content Addressable memory, Intrusion Detection system.

Index Terms- Field Programmable Gate Array, Content Addressable memory, Intrusion Detection system. Dynamic Based Reconfigurable Content Addressable Memory for FastString Matching N.Manonmani 1, K.Suman 2, C.Udhayakumar 3 Dept of ECE, Sri Eshwar College of Engineering, Kinathukadavu, Coimbatore, India1

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED

CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED 1 St National Conference on Teaching Innovations and Enhancing Learning (Arts, Science And Technology) CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED CONSUMPTIONS AND M. Karthik

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

Novel low power CAM architecture

Novel low power CAM architecture Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 8-1-2008 Novel low power CAM architecture Ka Fai Ng Follow this and additional works at: http://scholarworks.rit.edu/theses

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Sachin 1, Charanjeet Singh 2 1 M-tech Department of ECE, DCRUST, Murthal, Haryana,INDIA, 2 Assistant Professor, Department of

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts Hardware/Software Introduction Chapter 5 Memory Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 1 2 Introduction Memory:

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction Hardware/Software Introduction Chapter 5 Memory 1 Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 2 Introduction Embedded

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Design of a High Speed FPGA-Based Classifier for Efficient Packet Classification

Design of a High Speed FPGA-Based Classifier for Efficient Packet Classification Design of a High Speed FPGA-Based Classifier for Efficient Packet Classification V.S.Pallavi 1, Dr.D.Rukmani Devi 2 PG Scholar 1, Department of ECE, RMK Engineering College, Chennai, Tamil Nadu, India

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information