Design of a Low Power Content Addressable Memory (CAM)

Size: px
Start display at page:

Download "Design of a Low Power Content Addressable Memory (CAM)"

Transcription

1 Design of a Low Power Content Addressable Memory (CAM) Scott Beamer, Mehmet Akgul Department of Electrical Engineering & Computer Science University of California, Berkeley {sbeamer, akgul}@eecs.berkeley.edu May 7, 009 Abstract Conventional CAM designs typically take up significantly more area, power, and sometimes delay compared to location addressed memories of the same capacity. If these penalties are traded off against each other, there will be many new applications for CAMs that are not feasible or practical today. In this study using EDP as a metric, we analytically evaluate individual CAM design techniques previously introduced in our midterm report, and discuss the tradeoffs in each technique. Our study shows that one can achieve significant reduction in matchline (ML) activity factor and thus power by using NAND type CAM cells, at the price of delay. On the contrary, NOR cells exhibit high activity factors and power dissipation, but with a quick ML evaluation phase. Utilizing both types, we find the optimum number of NAND cells for a given array size that minimizes the EDP, followed by low swing ML NOR cells. We also use a precomputation technique to reduce the number of search lines (SL) in the array by half, and to further reduce ML activity by 50%, resulting in substantial power and area savings. Finally, we present the optimum combination of these techniques by evaluating trade offs of various comination possibilities. Index Terms - CAM design, EDP figure of merit, NAND cell, NOR cell, 1 s precomputation, NAND-NOR hybrid optimization 1 Introduction Our study focuses on minimizing power consumption of a CAM array, without sacrificing the speed performance. For a CAM cell, there are three main parts that consume power[9]: 1. SRAM Array: There is a wide range of techniques to improve SRAM cell performance and power in literature, e.g. dynamic voltage scaling, lower retention voltage etc. But these techniques are not directly related to the CAM operation, and can be applied almost regardless of the chosen CAM cell architecture. Considering SRAM oriented techniques common to all CAM improvement methods in this report, we will not include SRAM optimization in this study, but rather elaborate on CAM specific methods.. Matchlines (ML): For the conventional CAM design, the ML is precharged, and then evaluated to discharge or stay high based on the match or not match decision of the evaluation circuit. The area, power and delay considerations of the ML routing limits the CAM array size and performance for many applications. In this study we will investigate methods to reduce ML delay, activity factor and power. 3. Search Lines (SL): Conventional CAM design uses complementary search lines, which results in a SL activity factor of 1. This high activity factor shows that SL improvement techniques are crucial for minimizing the CAM power and delay. In this study we present a way to avoid using complementary search lines, and reducing the activity factor to obtain area, power and delay benefits. In this study we will address both ML and SL optimization. As presented in our midterm report, there are two evaluation approaches for the ML: the NAND cell with low ML activity factor and low power but with a quadratic delay increase with length; and the NOR cell, with high activity factor and power but fast evaluation speed. We select the EDP (energy delay product) as a metric to evaluate various NAND and NOR based approaches. Our analysis shows that minimum EDP is achieved by using the optimum number of NAND cells in front to reduce the ML activity factor, followed by NOR cells to speed up the evaluation which is activated only when all preceding NAND cells match, which has an exponentally decaying probability as the NAND 1

2 3 Matchline Optimization Techniques 3.1 NOR Cell The NOR cell uses a full swing approach that precharges ML to VDD, and has NMOS switches to ground which short ML in the case of a mismatch. The advantage of NOR-type array is its worst case resistance from ML to ground does not grow with array size. But it is wasteful by charging ML to full swing VDD, both in terms of ML power and delay from waiting for the charge/dicharge of a full voltage swing Analytical Modeling Figure 1: Layouts for NAND and NOR CAM cells Cell Type Width (λ) Height (λ) Area (µ ) NOR NAND Half NOR Figure : Approximate layout dimensions and area, assuming λ =.5nm chain gets longer. Then we will analyze the precomputation method as a way to reduce the number of SLs to half by avoiding complementary operation and further reducing ML activity by 50%, leading to substantial savings in power, area and delay. Finally, we present the optimum combination of these methods as our proposed CAM design and demonstrate the improvement in terms of EDP as a metric. To create an analytical model for the energy and delay per NOR cell, we calculated the parasitic capacitance added by the source and drain junctions of the evaluation transistors. We also modeled the matchlines and searchlines by RC T-models. We denote ML capacitance per unit length as C ML, and when multiplied by the width of the NOR cell W, which is also the ML-to- ML wire pitch between cells, it gives us the total ML capacitance per cell, which we use in our T-model for the wire. A similar analysis applies to the ML reistance, where resistance per unit length R ML is multiplied the width of the NOR cell W. For the SL RC T-model, the same approach is applied again by changing the width W and the height H of the cell, which is the SL-to-SL pitch of the CAM array. C ML,NOR = C d + C ML W C SL,NOR = C g + C SL W Simulation Environment To verify our analytical models, we used HSPICE simulations with a predictive 45nm model [1]. As a starting point, reasonable layouts were made according to lambda rules [10] (Figure 1 and Table ). Since much of the power in a CAM is burned in long wires (searchlines or matchlines), simple RC T-models were made for each cell type and dimension using the same predictive model [1]. These T-models were used throughout our simulations, especially between cells or between cells and supplies. To assist with exploring the vast design space, we used Python code to automatically generate, execute, and collect simulation results. This code do more than simply sweep parameters, but it was also capable of assembling arbitrary numbers of elements (cells, wires, and supplies). E NOR = N k V V ML C M + αn k V A C M V SL The layout of the cell defines aconstant ratio between total ML capacitance C M and total SL capacitance C S, which we denote as A = C M CS Then we can define the following metric as energy per cell for a given supply voltage. E NOR V = N k C M ( V ML + α A V SL ) (1) By using the Elmore delay model for the ML and SL, we can write the following equations for delay: t d,ml = 0, 69C ML,NOR R MLW t d,sl = 0, 69(C g + C SL )R SLW N.(N + 1) M.(M + 1)

3 NOR - Full Swing NOR - Low Swing NOR - Half Cell NAND - High V t NAND - Low V t Figure 3: Evaluation of a NAND matchline 3.1. Low Swing Match Lines As (1) indicates, the energy is directly proportional to the voltage swing on the ML, V ML. Considering the worst case delay case of a NOR ML, which is only the NOR cell at the end of the array matching and the rest of the NMOS switches to ground being off, and hence discharging the whole ML through a single switch. Neglecting the ML wire reistance, we can model the ML and the addded drain junction parsitics of the NOR cells along the chain as a lumped capacitance C ML. Then we can write the follwoing simple delay model, which shows the worst case delay of the ML is also linealy proportional to V ML, like energy. i t = C V t ML,NOR V ML These arguments clearly show the advantages of low swing matchlines. The only drawback is, due to the reduced voltage swing, we need a sense amplifier at the end of the match line. For our project, we used a published sense amplifier [4] which has a 150 mv high-low transition threshold. To account for process variations, glitches and other noise sources, we picked V ML = 300mV with enough margin to avoid false evaluation. The delay and energy improvements obtained by the low swing method can be clearly seein in Fig 4and 5. In terms of area it is small cost that can be amortized over each row. 3. NAND Cell 3..1 Analytical Modeling Although it results in increased delay, the fact that the NAND ML is discharged through a series of NMOS chain comes with a power advantage [11]. As depicted in Figure 3, the part of the ML that discharges ends at the first mismatch location. Assuming a uniformly distributed random data pattern, the expected number of the discharged NAND cells as a function of NAND chain size is given by: E[N] = N i i = + N N N i=1 () Using, the average energy as a function of NAND chain length is given by the multiplication of expected Delay (ns) Number of NOR Cells Number of NAND Cells Figure 4: Delays for each cell type to pre-charge and evaluate Search Energy (fj/bit) NOR Full Swing NOR Low Swing NOR Half Cell Cell Type Searchline Energy Matchline Energy NAND High VT NAND Low VT Figure 5: Delays for each cell type to pre-charge and evaluate number of discharged bits and the energy per cell. 3.. Low V T Technique E NAND (k) = E[k] E,cell (3) The delay of the NAND cell suffers from the fact that the match case has to discharge through all the transistors in the NAND chain. If you refer to the NAND cell schematic we presented in our midterm report, the gates of the transistors in the discharge path of series of NAND cells experience a V T drop. Furthermore, the voltage experiences a V T drop across the first NAND cell switch and the precharge PMOS device. Hence, we loose V T compared to the supply, which is a significant amount for our low 1V supply voltage. To avoid this scenario, we edited the device model and added low V T NMOS devices with 100 mv lower thresh- 3

4 old voltage compred to the nominal devices supplied by the 45 nm PTM model. We replaced the NMOS devices on the discharge path with these low V T devices. this not only saves us the threshold drops but also reduces the on resistance of the swithces, which speeds up ML evaluation considerably. Normally low V T devices come with a lekage penalty. However, this does not apply to the NAND cam design, since the low V T NMOS devices form a series chain from the ML capacitance to ground, which passes thorugh a long chain of devices and hence suppresses the leakage.the significant delay benefits and energy comparison wrt. high V T NAND version is presented in Fig 4and NAND-NOR Design The preceding analysis shows that the NAND and NOR cells present complementary trends for energy and delay [1]. Our observations on NAND cell suggest that it is very advantageous in terms of ML power to have a number of NAND cells in series at the beginning of a CAM row, as seen from () and (3), yet there is a delay penalty as seen in Figure 4. On the other hand, (1) and Figure 4 and Figure 5 indicate that to achieve fast evaluation, one needs NOR cells, which come with a linear energy penalty. Once we observe the competing trends, we expect an optimum allocation of the number of NAND and NOR cells in the row for a given total length, which minimizes the multiplication of the two opposing parameters, energy and delay, i.e. EDP as our main metric. We analytically analyze the optimization problem, and verify the results with simulation data in the following. The most important observation in the NAND-NOR is, as pictured in Figure 6, the NOR ML charges only when all the NAND cells match, which has an exponentially decaying probability with increasing NAND length k, which is p(k) = 1. k Using this observation and and 3, we can write the total energy and delay of the NAND-NOR as: E tot (N, k) = E[k]E NAND,cell + p(k)e NOR,cell EDP (Js) 7 1e Figure 6: NAND-NOR matchline Number of NAND Cells Figure 7: EDP of a NAND-NOR matchline of various lengths and this agrees with the model. Additional NAND cells past the optimum continue to half the matchline activity factor for the NOR cells, but it is already beyond the point of diminishing returns. Additional NAND cells also greatly increase the delay, which is penalized with the EDP figure of merit. Additional NAND cells can not reduce the NOR energy much further because most of the NOR energy is burned in their searchlines, which the NAND cels have no control over. 4 Search Line Optimization Techniques E tot (N, k) = ( + k k )E NAND,cell+ 1 k (N k)e NOR,cell (4) D tot (N, k) = k t NAND,cell + (N k) t NOR,cell (5) To validate these models, we computed the EDP for a range of row widths while varying the number of NAND cells per now with results obtained from simulation (Figure 7). It is clear from the the figure that a modest number of NAND cells is the optimum amount, All the techniques we discussd so far tried to reduce ML power, which is only one side of the problem. To avoid a scenario when the SL power dominates the CAM energy consumption, we can consider a precomputation technique that reduces the SL power substantially, and look for ways to improve it [5]. The precomputation technique (Ones-Counter) uses the clever insight that each NOR cell (or even each NAND cell) has two sets of transistors to discharge the matchline, but only one of them is ever on. If each cell had one only searchline, for some misses it would be unable to discharge the matchline because the bit stored on the side with the searchline is 0. This can be fixed 4

5 NOR Array NAND Array Ones Count Figure 8: Hybrid options to integrate NAND-NOR with Ones-Count Search Delay (ns) NOR Array Ones Count NAND Array 0.0 NAND-NOR Ones-Counter Options A & B Option C CAM Type Figure 9: Delay of 64b using hybrid techniques by adding a precomputation stage which guarantees the search term and the rows searched have the same number of ones. If there is a mismatch, there must also be the inverse mismatch somewhere else in the word, so the cell with one searchline is sufficient (Half NOR Cell). By removing a searchline, the cell is smaller, but it also gains in speed and energy efficiency, as shown in Figures 4 and 5. The downside to this approach of course is the area, latency, and energy penalty of the precomputation stage [9]. The precomputation stage is only a CAM of size logarithmic to the Half NOR array that follows. It has the other added benefit of turning off some matchlines for evaluation because of mismatches in the number of ones. For a binary number, the probability distribution for the number of ones is binomial, which will cause a significant number of misses, but not as many as the decaying exponential from NAND cells at the front of the row. To obtain an even more energy efficient CAM, we attempt to mix a NAND-NOR matchline with the ones counter, as shown in Figure 8. There are tradeoffs that could make each design point more or less desirable, but as can be seen in Figures 9 and Figure 10, Option A is never better than the rest. Figures 9 and Figure 10 show the simulation results of a 64b wide CAM array, implemented a variety of ways. For the designs that use NAND cells, they have only 4 as was shown to be optimal for 64b in Figure 7. Compared to Option B, Search Energy (fj/bit) NAND-NOR Ones-Counter Option A Option B Option C CAM Type Figure 10: Energy per bit when implementing 64b using hybrid techniques the NAND cells and the ones counter are in the wrong order. A stage of NAND cells will turn off more match lines that the ones counter, so putting it earlier in the evaluation cycle results in more energy saved. Option C uses more energy by running both comparisons in parallel which also reduces its critical path. Although the hybrids were able to save more energy than the ones-counter, the ones-counter does still have the best EDP of the designs considered. 5 Further Techniques and Considerations In this work, we presented a coherent group of CAM design techniques that we are able to analyze in a fashion that leads to a generally applicable unified technique that optimizes our EDP metric. However, CAM has a wide range of applications, and there are further design considerations and methods that can also result in energy and power improvements for certain design scenarios. One very powerful technique that we found in our literature survey is the segmentation technique [3, 7]. Basically, it tries to optimally divide a large CAM array into smaller arrays that can be operated in a serial or pipelined fashion for improved energy, delay and regular layout. Combining the hybrid NAND-NOR technique with segmentation can be highly advantageous for large CAM sizes. This is an important design aspect that needs to be studied in the future follow up of our study. Another technique is a ML sensing technique called current racing, which we also briefly described in our midterm report[]. This method has slightly faster ML evaluation times than the low swing NOR. It also reduces the SL activity factor by 50% [9], resulting in SL 5

6 power savings. But its design and implementation is a lot more complex than the low swing apporach. It is also highly sensitive to process variations. Due to its design complexity and robustness issues, we decided not to use in our project, and instead implemented the low swing and precomputation method. But the current racing method is also an important method that deserves further attention in future studies on this topic. 6 Conclusion CAMs are used in various important applications, mainly in networking hardware and CPUs, and there are many future applications can benefit from the abilities of CAM memory arrays [6, 8]. But since their significantly more area, power and delay requirements compared to location addressed memories of the same capacity creates a bottleneck in its integration in many applications. In this report, we studied an analytical metric based comparison of major CAM cell techniques we surveyed in our midterm report. We used EDP as a metric to compare the advantage and disadvnateges of each technique. Our study indicates that NAND cell exhibit very low power but long delay operation. To complement this, NOR cells have a very quick evaluation phase, but spend more energy. Using these opposing trends to our advantage, we combined the NAND and NOR cells such that the number of NAND cells in front of the array is optimized to minimize the EDP, while benefiting from the high speed of the forllowing NOR cells, whose high power consumption is harnessed by the reduced activity factor due to the preceding NAND cells. We also noticed that just focusing on ML oriented techniques will create an unbalanced design. Therefore, we investigated a precomputation method, which reduces the number of search lines in the array by half, and further reduces the activity factor of the remaining search lines by 50%. Finally, having these individual methods at our disposal, we combined them in the optimum fashion to obtain minimum EDP. The unique contribution of our study is to combine various CAM design methods in an optimum fashion rather than attacking the problem with a single dimension by the individual methods we found in our survey for the midter report. We believe the ideas presented in this report can enhance CAM performance significantly, enabling its integration into various exciting future applications, especially with the rise of multicore CPU architectures. [] Igor Arsovski, Trevis Chandler, and Ali Sheikholeslami. A ternary content-addressable memory (tcam) based on 4t static storage and including a current-race sensing scheme. JSSC, 38(1): , Mar 003. [3] S Baeg. Low power ternary content-addressable memory (tcam) design using a segmented matchline. IEEE Transactions on Circuits and Systems, 55(6), Jul 008. [4] G Kasai, Y Takarabe, K Furumi, and M Yoneda. 00mhz/00msps 3. w at 1.5 v vdd, 9.4 mbits ternary cam with new charge injection match detect circuits and bank selection scheme. Custom Integrated Circuits Conference, pages , 003. [5] Chi-Sheng Lin, Jui-Chuan Chang, and Bin-Da Liu. A low-power precomputation-based fully parallel content-addressable memory. JSSC, 38(4):654 66, 003. [6] Kun-Jin Lin and Cheng-Wen Wu. A low-power cam design for lz data compression. IEEE Transactions on Computers, 49(10): , 000. [7] K Pagiamtzis and A Sheikholeslami. A low-power content-addressable memory (cam) using pipelined hierarchical search scheme. JSSC, 39(9), Sep 004. [8] K Pagiamtzis and A Sheikholeslami. Using cache to reduce power in content-addressable memories (cams). IEEE Custom Integrated Circuits Conference, 005. [9] K Pagiamtzis and A Sheikholeslami. Contentaddressable memory (cam) circuits and architectures: A tutorial and survey. JSSC, 41(3), Mar 006. [10] Deep Submicron Rules. Mosis scalable cmos (scmos), October [11] J Wang, H Li, C Chen, and C Yeh. An and-type match-line scheme for energy-efficient content addressable memories. ISSCC, Feb 005. [1] Byung-Do Yang and Lee-Sup Kim. A low-power cam using pulsed nand-nor match-line and chargerecycling search-line driver. JSSC, 40(8), Aug 005. References [1] 45nm PTM LP model. Predictive technology model (ptm), September ptm/. 6

Ternary Content Addressable Memory Types And Matchline Schemes

Ternary Content Addressable Memory Types And Matchline Schemes RESEARCH ARTICLE OPEN ACCESS Ternary Content Addressable Memory Types And Matchline Schemes Sulthana A 1, Meenakshi V 2 1 Student, ME - VLSI DESIGN, Sona College of Technology, Tamilnadu, India 2 Assistant

More information

A Low Power Content Addressable Memory Implemented In Deep Submicron Technology

A Low Power Content Addressable Memory Implemented In Deep Submicron Technology A Low Power ontent Addressable Memory Implemented In Deep Submicron Technology Divyashree.M, Bhagya. P P.G. Student, Dept. of E&, Don Bosco Institute of Technology, Bangalore, Karnataka, India Associate

More information

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Ting-Sheng Chen, Ding-Yuan Lee, Tsung-Te Liu, and An-Yeu (Andy) Wu Graduate Institute of Electronics Engineering, National Taiwan

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

Power Gated Match Line Sensing Content Addressable Memory

Power Gated Match Line Sensing Content Addressable Memory International Journal of Embedded Systems, Robotics and Computer Engineering. Volume 1, Number 1 (2015), pp. 1-6 International Research Publication House http://www.irphouse.com Power Gated Match Line

More information

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing T. Sudalaimani 1, Mr. S. Muthukrishnan 2 1 PG Scholar, (Applied Electronics), 2 Associate

More information

Content Addressable Memory performance Analysis using NAND Structure FinFET

Content Addressable Memory performance Analysis using NAND Structure FinFET Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 1077-1084 Research India Publications http://www.ripublication.com Content Addressable Memory performance

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 976 6464(Print), ISSN

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

Design of low power Precharge-Free CAM design using parity based mechanism

Design of low power Precharge-Free CAM design using parity based mechanism Design of low power Precharge-Free CAM design using parity based mechanism S.A.Sivakumar 1, A.Swedha 2 Assistant Professor, ECE Department, Info Institute of Engineering, Coimbatore, India 1 PG Scholar,

More information

A Survey on Design of Content Addressable Memories

A Survey on Design of Content Addressable Memories A Survey on Design of Content Addressable Memories R.Prashidha, G.Shanthi, K.Priyadharshini Abstract We survey the recent developments in the design of large-capacity content addressable memory (CAM).

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY Gangadhar Akurathi 1, Suneel kumar Guntuku 2 and K.Babulu 3 1 Department of ECE, JNTUK-UCEV, Vizianagaram, Andhra Pradesh, India

More information

EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control

EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control Abstract: EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control A ternary content addressable memory (TCAM) speeds up the search process in the memory by searching through prestored

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY Latha A 1, Saranya G 2, Marutharaj T 3 1, 2 PG Scholar, Department of VLSI Design, 3 Assistant Professor Theni Kammavar Sangam College Of Technology, Theni,

More information

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique International journal of scientific and technical research in engineering (IJSTRE) www.ijstre.com Volume 1 Issue 5 ǁ August 2016. Implementation of Efficient Ternary Content Addressable Memory by Using

More information

Novel low power CAM architecture

Novel low power CAM architecture Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 8-1-2008 Novel low power CAM architecture Ka Fai Ng Follow this and additional works at: http://scholarworks.rit.edu/theses

More information

554 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 5, MAY 2008

554 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 5, MAY 2008 554 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 5, MAY 2008 Ternary CAM Power and Delay Model: Extensions and Uses Banit Agrawal, Student Member, IEEE, and Timothy Sherwood,

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Survey on Content Addressable Memory and Sparse Clustered Network

Survey on Content Addressable Memory and Sparse Clustered Network Survey on Content Addressable Memory and Sparse Clustered Network 1 Aswathy K.S., 2 K. Gnana Sheela 1,2 Department of Electronics and Communication, Toc H Institute of Science and Technology Kerala, India

More information

Content Addressable Memory with Efficient Power Consumption and Throughput

Content Addressable Memory with Efficient Power Consumption and Throughput International journal of Emerging Trends in Science and Technology Content Addressable Memory with Efficient Power Consumption and Throughput Authors Karthik.M 1, R.R.Jegan 2, Dr.G.K.D.Prasanna Venkatesan

More information

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Optimize Parity Encoding for Power Reduction in Content Addressable Memory Nisha Sharma, Manmeet Kaur 1 Research Scholar, Chandigarh

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

A Comparative Study of Power Efficient SRAM Designs

A Comparative Study of Power Efficient SRAM Designs A Comparative tudy of Power Efficient RAM Designs Jeyran Hezavei, N. Vijaykrishnan, M. J. Irwin Pond Laboratory, Department of Computer cience & Engineering, Pennsylvania tate University {hezavei, vijay,

More information

Low-Power SRAM and ROM Memories

Low-Power SRAM and ROM Memories Low-Power SRAM and ROM Memories Jean-Marc Masgonty 1, Stefan Cserveny 1, Christian Piguet 1,2 1 CSEM, Neuchâtel, Switzerland 2 LAP-EPFL Lausanne, Switzerland Abstract. Memories are a main concern in low-power

More information

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES D.Rani, R.Mallikarjuna Reddy ABSTRACT This logic allows operation in two modes: 1) static and2) dynamic modes. DML gates, which can be switched between

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Content Addressable Memory Using Automatic Charge Balancing with Self-Control Mechanism and Master-Slave Match Line Design

Content Addressable Memory Using Automatic Charge Balancing with Self-Control Mechanism and Master-Slave Match Line Design Circuits and Systems, 2016, 7, 597-611 Published Online May 2016 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2016.76051 Content Addressable Memory Using Automatic Charge Balancing

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

1. Designing a 64-word Content Addressable Memory Background

1. Designing a 64-word Content Addressable Memory Background UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Project Phase I Specification NTU IC541CA (Spring 2004) 1. Designing a 64-word Content Addressable

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Minimizing Power Dissipation during Write Operation to Register Files

Minimizing Power Dissipation during Write Operation to Register Files Minimizing Power Dissipation during Operation to Register Files Abstract - This paper presents a power reduction mechanism for the write operation in register files (RegFiles), which adds a conditional

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Power-Optimal Pipelining in Deep Submicron Technology Λ

Power-Optimal Pipelining in Deep Submicron Technology Λ 8. Power-Optimal Pipelining in Deep Submicron Technology Λ Seongmoo Heo and Krste Asanović MIT Computer Science and Artificial Intelligence Laboratory Vassar Street, Cambridge, MA 9 fheomoo,krsteg@csail.mit.edu

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article A POWER EFFICIENT CAM DESIGN USING MODIFIED PARITY BIT MATCHING TECHNIQUE Karthik

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

Ripple-Precharge TCAM: A Low-Power Solution for Network Search Engines

Ripple-Precharge TCAM: A Low-Power Solution for Network Search Engines Ripple-Precharge TCAM: A Low-Power Solution for Network Search Engines Deepak S Vijayasarathi, Mehrdad Nourani, Mohammad J. Akharizadeh, Poras T. Balsara Center for Integrated Circuits & Systems The University

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 5 / JAN 2018

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 5 / JAN 2018 Implementation of an Efficient Content Addressable Memory Based on Sparse Cluster Network Basumala Chandram 1 Dr. P.Sreenivasulu 2 Dr. P. Prasanna Muralikrishna 3 chandu6240@gmail.com 1 psrinivas.vlsi@gmail.com

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Objectives In this lecture you will learn the following Introduction SRAM and its Peripherals DRAM and its Peripherals 30.1 Introduction

More information

Content Addressable Memory Architecture based on Sparse Clustered Networks

Content Addressable Memory Architecture based on Sparse Clustered Networks IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. III (Nov. - Dec. 2016), PP 21-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Content Addressable Memory

More information

Design and verification of low power SRAM system: Backend approach

Design and verification of low power SRAM system: Backend approach Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum -590008,

More information

Total Power-Optimal Pipelining and Parallel Processing under Process Variations in Nanometer Technology

Total Power-Optimal Pipelining and Parallel Processing under Process Variations in Nanometer Technology otal Power-Optimal Pipelining and Parallel Processing under Process ariations in anometer echnology am Sung Kim 1, aeho Kgil, Keith Bowman 1, ivek De 1, and revor Mudge 1 Intel Corporation, Hillsboro,

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Deep Sub-Micron Cache Design

Deep Sub-Micron Cache Design Cache Design Challenges in Deep Sub-Micron Process Technologies L2 COE Carl Dietz May 25, 2007 Deep Sub-Micron Cache Design Agenda Bitcell Design Array Design SOI Considerations Surviving in the corporate

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology,

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology, Low Power PLAs Reginaldo Tavares, Michel Berkelaar, Jochen Jess Information and Communication Systems Section, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands {regi,michel,jess}@ics.ele.tue.nl

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Implementation of SCN Based Content Addressable Memory

Implementation of SCN Based Content Addressable Memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 48-52 www.iosrjournals.org Implementation of

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 PP 11-18 www.iosrjen.org Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory S.Parkavi (1) And S.Bharath

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

High Performance and Energy Efficient SRAM-based Architecture for TCAM

High Performance and Energy Efficient SRAM-based Architecture for TCAM High Performance and Energy Efficient SRAM-based Architecture for TCAM Mahalakshmi R, Gayathri. M Department of VLSI Design, K. Ramakrishnan, College of Technology, India Article Info Article history:

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

PICo Embedded High Speed Cache Design Project

PICo Embedded High Speed Cache Design Project PICo Embedded High Speed Cache Design Project TEAM LosTohmalesCalientes Chuhong Duan ECE 4332 Fall 2012 University of Virginia cd8dz@virginia.edu Andrew Tyler ECE 4332 Fall 2012 University of Virginia

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER A Dissertation on DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER Submitted towards the partial fulfillment of requirement for the award of degree of Master of Technology in VLSI Design Submitted by Shivkaran

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information