Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Size: px
Start display at page:

Download "Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores"

Transcription

1 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo Han, Inhyuk Choi, Hyunggoy Oh, and Sungho Kang, Senior Member, IEEE Abstract This paper proposes a new network-on-chip (NoC)-reused test access mechanism (TAM) for testing multiple identical cores. It can test multiple cores concurrently and identify faulty cores to derate the chip by excluding the core. In order to minimize the test time, the TAM utilizes the majority value of test response data. All of the cores can thereby be tested in parallel and test costs (in both test pins and test time) are exactly the same as those for a single core. The hardware overhead is minimized by reusing the NoC infrastructures and transfer-counters are designed as a majority analyzer. The experimental results in this paper show that the proposed TAM can test multiple cores in the same time as a single core and with negligible hardware overhead. Index Terms Multicore, network-on-chip (NoC), parallel test, test access mechanism (TAM). I. INTRODUCTION A system-on-chip (SoC) design mainly consists of multiple IP cores, each of which contains an individual design block and its design. Thus, an SoC test implies a highly structured design-fortest (DFT) infrastructure to observe and control individual core test solutions [1]. The design of a communication infrastructure within such complex systems requires high performance and high quality levels while connecting an increasing number of cores. The communication architecture causes severe on-chip synchronization errors, unpredictable delays, and power consumption. A network-onchip (NoC) is proposed as a solution to overcome the limitations from bus-based and point-to-point communication architectures [2]. When the NoC is used as an interconnection fabric, the cores in the SoC can be tested using the NoC as the test access mechanism (TAM). This NoC-reused TAM allows the use of existing functional interconnects, with reduced area, pin count, and test time costs [3]. Infrastructures of the NoC, which include routers and interconnections, must be tested before reusing the NoC as TAM [4]. Amory et al. [5] and Cota et al. [6] proposed a DFT scheme to test all identical routers concurrently and Xiang and Zhang [7] and Xiang [8] proposed a scheme to test interconnections with a reduced cost. An NoC-reused TAM facilitates design reuse and localizes the DFT effort to access points and core wrappers such as the IEEE 1500 [9], and therefore reduces the impact of last-minute design changes. For heterogeneous cores, research on the optimization of a dedicated TAM [10], [11] and NoC-reused TAM [12] demonstrated that Manuscript received November 26, 2014; revised June 16, 2015; accepted September 2, Date of publication September 23, 2015; date of current version June 16, This work was supported by the National Research Foundation of Korea through the Korea Government (MSIP) under Grant 2015R1A2A1A This paper was recommended by Associate Editor J. L. Dworak. (Corresponding author: Sungho Kang.) T. Han is with the SoC Development, System LSI, Samsung Inc., Gyounggi-do , Korea ( twhan@soc.yonsei.ac.kr). I. Choi, H. Oh, and S. Kang are with the Computer Systems and Reliable SOC Laboratory, Department of Electrical and Electronic Engineering, Yonsei University, Seoul , Korea ( ihchoi@soc.yonsei.ac.kr; kyob508@soc.yonsei.ac.kr; shkang@yonsei.ac.kr). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCAD pin-count-aware test schedule optimization can reduce test time for given pins. Recently, modern microprocessor designs have evolved to include multiple identical cores [13] and an NoC helps to implement reconfigurable systems and a topology reconfiguration for defect-tolerant NoC-based homogeneous multicore or many-core systems [14]. The build of this highly reliable system begins with an accurate test: identifying faulty cores to derate the chip by excluding it. A pipelinebased TAM is proposed for parallel testing of multiple identical cores [15]. NoC-reused parallel (NRP) TAM (NRP-TAM) [16] adopts this pipeline-based test scheme to be used as NoC-reused TAM. However, the pipeline-based TAM has the characteristic of requiring additional test time when the primary core has a fault. The worst case is when the primary core fails continuously, thereby requiring N tests for N cores. In addition, if one chip needs additional test time, the other chips on the same wafer also wait the additional test. As a result, the overall test process should be delayed and the only one fault at the primary core is expected to have a huge impact. A majority-based TAM [17] is proposed to overcome the limitations of the pipeline-based TAM and it can test all cores using the same test pins and test time as required for testing a single core, but it is designed as the dedicated TAM. The majority analyzer in the dedicated TAM is a combinational module and it is hard to apply for the NoC infrastructures which have sequential logic with routing buffers. In this paper, completely parallelized NoC-reused TAM for multiple identical cores is proposed. It is implemented by utilizing the scheme of majority-based TAM. Also, a dedicated majority analyzer is designed for reusing NoC infrastructures. With the majority-based TAM scheme, cores that produce test response (TR) data, which is different from the majority value (MV), can then be considered to be faulty. The MV is then tested by the automated test equipment (ATE) to determine whether it matches the expected value or not, which indicates a fault. The proposed NoC-reused TAM targets most common NoC architectures [18] and has flexibility in its design, configuration, and application. The proposed TAM can be used to perform a complete core-level diagnosis and the test process is completely parallelized for minimizing the test costs. II. PREVIOUS WORKS A. NoC-Reused TAM An NoC-reused TAM for testing multiple identical cores is studied with the pipeline-based TAM scheme. If the pipeline-based TAM is applied to an NoC-reused TAM as it is, the bandwidth of the TAM is reduced by half. This is due to the test pattern (TP) data and TR data of a primary core transferring in one direction. Fig. 1(a) shows a simple diagram of the NoC-reused TAM in which the pipeline-based TAM is applied as it is. Cores connected to the routers are omitted in this figure. The width of a flit in the NoC is W. In order to transfer the TP and the primary core s TR in the same direction, the TP uses a W/2 bandwidth and the primary TR uses the other W/2 bandwidth. In the pipeline-based TAM, the spare output channels can be used to c 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 1220 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 (a) (b) Fig. 1. Simple diagram of the NoC-reused TAMs. (a) Pipeline (two tracks) [15]. (b) NRP-TAM [16]. directly observe the response of another primary core. This allows two sets of cores to be compared in parallel to each observable core [15]. Because Primary1 reuses only half of the bandwidth (W/2) of the test output pins, the remaining bandwidth (W/2) of the test output pins can be used to construct the two tracks (for Primary2). It can reduce the test time stochastically (the probability of two primary cores both having fault is expected as less than the one of primary core having fault). However, it reuses only half of the bandwidth of the test input pins and half of the bidirectional links in the NoC. Therefore, it leads to a loss of test time than which one reuses the full bandwidth of links in NoC. In this paper, a pipeline-based TAM is a dedicated TAM and pipeline is the NoC-reused TAM which adopts the pipelinebased test scheme as it is. The limited test bandwidth of Pipeline is overcome by an NRP-TAM [16]. NRP-TAM is a parallel TAM which is specialized for multiple identical cores in NoC-based system. In order to use the full bandwidth of NoC interconnection as the width of TAM, a new deterministic routing algorithm to transfer test data is designed. Fig. 1(b) represents this TAM. If one link is in use for transferring the TP, the primary s TR is transferred by bypassing the link. It can test the homogeneous cores in an NoC efficiently, but they have the same drawback as the pipeline-based TAM. If the primary core has a fault, additional test time is required for testing the other cores. The majority-based TAM can be applied to an NoC-reused TAM that promises to overcome the limitations above. B. Majority-Based TAM The majority-based TAM [17] has intuitive and clear fundamentals. In a multicore system, multiple identical cores can be tested in parallel using broadcasted TPs. If there are no faults, the TR data can be predicted to be the same among each of the cores. By expecting that most cores will not have faults, the proposed TAM analyzes the TR data and finds the MV. A core that produces TR data which is different from the MV can then be considered to be faulty. Naturally, the MV is then tested by the ATE to determine whether it matches the expected value or not, which indicates a fault. When the MV is equal to the expected data, it means that more than half of the cores are not faulty. If the TR data of a core is different from the MV, that core is recorded in the error registers as a faulty core. When the MV is different from the expected data, it means that more than half of the cores are faulty. In this case, it is possible for the TAM to operate the wrong test. The nonfaulty cores would be recorded instead in the error registers, but this multicore chip would be discarded. If the exact diagnosis is required even if more than half of the number of cores having faults, the test process is repeated with the nonfaulty cores. Fig. 2. Architecture of the proposed NoC-reused TAM. Consequently, with the majority-based TAM scheme, all of the cores can be tested simultaneously using the same test pins and test time as that required for testing a single core. Test methodology with the proposed NoC-reused TAM in this paper is described with the scan test. Because the TAM is only related to transferring test data, it can be extended to all scan, functional, and other tests for multiple identical cores. III. PROPOSED NOC-REUSED TAM A typical structure of NoC system with the proposed NoC-reused TAM is represented in Fig. 2. Detailed descriptions about the TAM are presented in the following chapters. A. Architecture Fig. 2 represents a typical structure of an NoC system with the NoC-reused TAM which adopts the majority-based TAM scheme. It reuses the buffers in the inputs of the routers and some multiplexers and comparators (XOR gates) are added; however, the comparators can be shared with the testing of routers. In addition, a bitwise counter is designed for analyzing the MV. It is assumed that Router0 is a source and sink node. External ATE sends the TP data to Router0, receives the MV of cores from Router0 and confirms whether the response data is identical to the expected data. Router0 transfers the TP data from the ATE to Core0 and, at the same time, it is transferred to Router1. One input buffer in Router1 is reused as the pipelining register for TP data. The red lines indicate the transmission of TP data which transfers from Router0 to Router1 and Router4 according to the proposed routing algorithm. The link between Router0 and Router1 is shared for both TP data and majority counting data by the time division methods. The majority counting data is the number of 1 s in the TR data for analyzing the MV. Therefore, the green lines represent the transmission of TP and majority counting data. Each router has a majority analyzer and it uses bitwise transfer-counters to maximize the efficiency of its process. The blue lines indicate the transmission of the complete MV which transfers from Router5 to Router4 and from Router1 to Router0.

3 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY Fig. 3. Routing results of the proposed NoC-reused TAM. Fig. 4. Architecture of majority analyzer. All routers and cores can transfer and receive the TP data, the majority counting data, and the MV in the same way. Each router then compares the TR data of its core and the MV. As a result, each router requires additional buffering to adjust the timing. This buffering reuses the input buffer between the core and the switch box. B. Routing Algorithm The proposed TAM uses shortest-path routing to transmit TP data, MV, and the majority counting data. It reuses the bidirectional links in the NoC for an abundant bandwidth of the TAM. In order to transmit the TP data and the counting data for analyzing the MV simultaneously, the TAM utilizes the difference between the speed of the scan shift cycle and the speed of the transmission cycle. Typically, the speed of the transmission cycle in an NoC is higher than 600 MHz, but the speed of the scan shift cycle is about 15 MHz [18]. Therefore, the proposed TAM can transmit enough counting data per TR data. Fig. 3 represents 4 3 NoC systems and routings according to the proposed algorithm. Cores connected to the routers are omitted. TP data transmits from Router0 (first router) to the other routers. After one scan shift cycle of a router receives the TP data, its core prints a TR data and the router generates a majority counting data. The majority counting data transmits to the next router. Router11 (last router) receives all the majority counting data and generates MV. The MV transmits from Router11 to the other routers. With this routing algorithm, each router receives the MV one scan shift cycle after the core of router printing a TR data. In order to compare the MV and the TR of core, the router requires one buffer (detailed time information is referred in Fig. 5). After routing all of the routers in an NoC in the same way, regardless of the number of cores, the depth of the buffering is always one clock. Therefore, all cores in the NoC can be tested concurrently by the pipelined test data. C. Majority Analyzer Each router in the proposed NoC-reused TAM has a majority analyzer for analyzing the MV. It counts the number of 1s in the TR data of each core; bitwise transfer-counters are designed for maximizing the number of testable cores and the simplified architecture helps to reduce the operation time and hardware overhead. The transfercounters are newly designed counter architectures in order to counter and transfer the data simultaneously. Fig. 4 shows the architecture of the majority analyzer and how it uses transfer-counters. For 4 3 cores, three bits are required for discovering the number of 1s in the TR data that are larger than half of the total cores (2 3 > 12/2). When the least significant bit of the majority counting data is transferred from the previous router, this bit is added with the TR data of the core by the adder. Then, the majority counting data is increased only when the bit of the TR data is 1. The result of the bitwise sum is transferred to the next router. The next bit of the majority counting data is then transferred from the previous router and this bit is added Fig. 5. Timing diagram of the proposed NoC-reused TAM. with the preceding carry bit by the adder. This sum is transferred to the next router as well. After the same process for the most significant bit takes place, all of the majority counting data of the previous routers are counted and transferred. At the last router, the calculated majority counting data is compared with half of the number of total cores and the MV is 1 when the majority counting data is larger than this half. D. Timing Analysis To represent the pipelined test data and concurrent test process of the proposed NoC-reused TAM, a timing diagram of test data in the TAM is shown in Fig. 5. TP data is transferred from Core0 to Core1, and from Core1 to Core2 (TP1 is the first TP and TP2 is the second TP). After one scan shift cycle, Core1 gets the TR data and the majority counting data (mcnt) are calculated and transmitted according to the transmission cycle. The MV of all cores can be analyzed in the same way and Core1 receives the MV from the last core (Core3 in this case) after one more scan shift cycle. In order to compare the TR data and the MV, the TR data must be buffered one scan shift cycle ( ) Transmission clock #ofcores log scan shift clock # of cores in row 2 + # of cores in column. (1) The proposed TAM utilizes the difference between the speed of the scan shift cycle and the speed of the transmission cycle. Equation (1) represents the relation between the speed of the cycles and the number of testable cores. The left side indicates the utilizable clocks from the different speeds of the transmission clock and scan shift clock. The right side is composed of the bits for counting the MV and the

4 1222 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 TABLE I HARDWARE OVERHEAD OF THE PROPOSED TAM IN 4 3NOC Fig. 6. Expected test time of NoC-reused TAMs (N = 12 and G = 10). TABLE II HARDWARE OVERHEAD OF THE PROPOSED TAM IN 4 3 2NOC longest transmission path. In order to transfer and count the majority counting data simultaneously, transfer-counters are used [if a router counts the all-bits of the majority counting data and then transfers them to the next router, the calculation on the right side of (1) would be a multiplication instead of an addition]. Given that the speed of the transmission cycle in an NoC is 600 MHz and the speed of the scan shift cycle is 15 MHz, the proposed TAM can test 256 cores concurrently. As a result, the abundant number of cores can be tested withthesametesttimeasthatofasinglecore. IV. EXPERIMENTAL RESULTS Several experiments were performed to verify the effectiveness of the proposed NoC-reused TAM. The experimental results include comparisons of the proposed TAM to the previous NoC-reused TAM which adopted the pipeline-based TAM scheme. These are implemented with the general NoC [19] and synthesized by the Synopsys 90-nm generic library [20] for analyzing the proposed TAM in real NoC systems. A. Test Time One advantage of the majority-based test scheme is that it can test multiple identical cores in the same amount of time as one core. In Fig. 6, the expected test time of the proposed NoC-reused TAM is always 1T (T: time for testing one core). On the other hand, both dedicated TAM and NoC-reused TAM which adopted the pipelinebased test scheme require additional test time according to the yield of the core. Giles et al. [15] analyzed the expected test time of the pipeline-based TAM. The expected test time which is necessary to determine the pass/fail status of each core (up to the decision to exit according to the deration policy) may be calculated as a function of the per core yield, Y. The proposed TAM and NRP-TAM can reuse the full bandwidth of bidirectional NoC links as the TAM, but pipeline reuses one directional link for both TP data and TR data of the primary core. As the width of the TAM decreases to become half as large, the test time tends to increase to nearly double [16]. The rest of the output channels in pipeline can be reused for comprising two tracks. Therefore, the experimental result of pipeline in Fig. 6 doubles the expected test time of the pipeline-based TAM with two tracks [9]. Fig. 6 represents a case for when at least ten cores are good among 12 cores, but the NoC-reused TAMs have consistent expected test times in various cases [15], [17]. While the expected test time of the majority-based TAM is always 1T, the expected test time for the pipeline-based TAM increases rapidly with decreasing yield. Multiple tracks in the pipeline-based TAM can reduce the expected test time, but it can be applicable in particular conditions (asymmetric channels at test inputs and test outputs) and, above all, it requires more test time than a single track when the yield of the core is good. B. Hardware Overhead NoC-reused TAMs were designed in RTL code and synthesized in order to compare the hardware size. Table I shows the hardware overhead of the NRP-TAM and proposed TAM in a 4 3(2-D) NoC and Table II indicates the case of a (3-D) NoC. The hardware architecture of the proposed TAM is related to each router and it can be extended to various numbers of routers. Therefore, Tables I and II show similar tendencies regardless of the number of routers or their dimensions. The hardware size of the proposed TAM with an NoC is represented in the number of NAND gates. Since the NoC-reused TAMs are reusing the input buffers for pipelining registers and additional buffering, the hardware overhead of the TAMs decreases when the number of buffers in the original NoC increases. Furthermore, the hardware overhead increases in the larger flit size at the NoC, which is the width of the TAM. The hardware overhead of the proposed NoC-reused TAM is less than 5% in the worst case of the experiments. The remaining components are similar, but the proposed TAM has more hardware overhead than the NRP-TAM due to the majority analyzers. However, considering the fact that the number of gates of a modern multicore processor system is much more than a million gates, the hardware overhead of the proposed TAM in a chip is negligible. Consequently, the proposed TAM can be implemented with the minimized hardware overhead by reusing the NoC infrastructures and it is the only NoC-reused TAM which can test multiple identical cores in NoC using the same test time as required for testing a single core. V. CONCLUSION In this paper, a novel NoC-reused TAM for parallel testing of a multicore system is described. All of the cores can be tested

5 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY simultaneously with the scheme of the majority-based test strategy and the test time is the same as that required for a single core. A majority analyzer with transfer-counters are designed which uses the MV of TR data to test multiple identical cores. The hardware overhead is minimized by reusing the infrastructure of an NoC. Experimental results show that the proposed NoC-reused TAM has a minimized test time with an abundant TAM width and negligible hardware overhead. The majority-based NoC-reused TAM is only related to the delivery of TR data and it can be compatible and improved with existing DFT technologies. REFERENCES [1] International Technology Roadmap for Semiconductors (ITRS): 2013 Edition, Semicond. Ind. Assoc., Washington, DC, USA, 2013, pp [2] R. Marculescu, U. Y. Ogras, L.-S. Peh, N. E. Jerger, and Y. Hoskote, Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 1, pp. 3 21, Jan [3] E. Cota, A. M. Amory, and M. S. Lubaszewski, Reliability, Availability and Serviceability of Networks-on-Chip. New York, NY, USA: Springer, [4] R. Nourmandi-Pour and N. Mousavian, A fully parallel BIST-based method to test the crosstalk defects on the inter-switch links in NOC, Microelectron. J., vol. 44, pp , Mar [5] A. M. Amory, E. Briao, E. Cota, M. Lubaszewski, and F. G. Moraes, A scalable test strategy for network-on-chip routers, in Proc. IEEE Int. Test Conf., Austin, TX, USA, 2005, Art. ID [6] E. Cota et al., A high fault coverage approach for the test of data control, and handshake interconnects in mesh networks-on-chip, IEEE Trans. Comput., vol. 57, no. 9, pp , Sep [7] D. Xiang and Y. Zhang, Cost-effective power-aware core testing in NoCs based on a new unicast-based multicast scheme, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 30, no. 1, pp , Jan [8] D. Xiang, A cost-effective scheme for network-on-chip router and interconnect testing, in Proc. IEEE Asian Test Symp., Jiaoxi Township, Taiwan, 2013, pp [9] E. J. Marinissen and Y. Zorian, IEEE Std 1500 enables modular SoC testing, IEEE Des. Test. Comput., vol. 26, no. 1, pp. 8 17, Jan./Feb [10] V. Iyengar, K. Chakrabarty, and E. J. Marinissen, Test wrapper and test access mechanism co-optimization for system-on-chip, J. Electron. Test., vol. 18, no. 2, pp , [11] B. Noia, K. Charkrabarty, and E. J. Marinissen, Optimization methods for post-bond testing of 3D stacked ICs, J. Electron. Test. Theory Appl., vol. 28, pp , Feb [12] R. Michael and K. Chakrabarty, Optimization of test pin-count, test scheduling, and test access for NoC-based multicore SoCs, IEEE Trans. Comput., vol. 63, no. 3, pp , Mar [13] I. Parulkar, T. Ziaja, R. Pendurkar, A. D Souza, and A. Majumdar, A scalable, low cost design-for-test architecture for UltraSPARC chip multi-processors, in Proc. IEEE Int. Test Conf., Baltimore, MD, USA, 2002, pp [14] L. Zhang, Y. Han, Q. Xu, X. Li, and H. Li, On topology reconfiguration for defect-tolerant NoC-based homogeneous manycore systems, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 9, pp , Sep [15] G. Giles, J. Wang, A. Sehgal, K. J. Balakrishnan, and J. Wingfield, Test access mechanism for multiple identical cores, in Proc. IEEE Int. Test Conf., Santa Clara, CA, USA, 2009, pp [16] T. Han, I. Choi, H. Oh, and S. Kang, A scalable and parallel test access strategy for NoC-based multicore system, in Proc. IEEE Asian Test Symp., Hangzhou, China, 2014, pp [17] T. Han, I. Choi, and S. Kang, Majority-based test access mechanism for parallel testing of multiple identical cores, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 8, pp , Aug [18] E. Salminen, A. Kulmala, and T. D. Hämäläinen, Survey of network-on-chip proposals, White Paper, OCP-IP, 2008, pp [19] (Apr. 8, 2014). Efficient Microarchitecture for Network-on-Chip Routers. [Online]. Available: [20] (Mar. 26, 2012). 90 nm Generic Library. [Online]. Available:

A Scalable and Parallel Test Access Strategy for NoC-based Multicore System

A Scalable and Parallel Test Access Strategy for NoC-based Multicore System A Scalable and Parallel Test Access Strategy for NoC-based Multicore System Taewoo Han, hyuk Choi, Hyunggoy Oh, Sungho Kang Department of Electrical and Electronic Engineering Computer systems & reliable

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

ADVANCES in chip design and test technology have

ADVANCES in chip design and test technology have IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Majority-Based Test Access Mechanism for Parallel Testing of Multiple Identical Cores Taewoo Han, Inhyuk Choi, and Sungho Kang Abstract

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost IEEE TRANSACTIONS ON COMPUTERS, VOL. 67, NO. 12, DECEMBER 2018 1835 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, and Sungho Kang, Senior

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil Test of NoCs and NoC-based Systems-on-Chip Érika Cota Marcelo Lubaszewski UFRGS, Brazil 1 A small world... San Diego USA Porto Alegre Brazil 2 1 Where we are 3 Porto Alegre 4 2 Porto Alegre and UFRGS Porto

More information

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability IEEE TRANSACTIONS ON RELIABILITY 1 A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability Haksong Kim, Yong Lee, and Sungho Kang, Member, IEEE Abstract Wafer testing (wafer sort)

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Ahierarchical system-on-chip (SOC) is designed by integrating

Ahierarchical system-on-chip (SOC) is designed by integrating IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 3, MARCH 2005 435 Test Planning for Modular Testing of Hierarchical SOCs Krishnendu Chakrabarty, Senior Member,

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time

An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time 38 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time Hyunggoy Oh, Taewoo Han, Inhyuk Choi, and Sungho Kang, Member, IEEE

More information

Fault Tolerant Prevention in FIFO Buffer of NOC Router

Fault Tolerant Prevention in FIFO Buffer of NOC Router Fault Tolerant Prevention in FIFO Buffer of NOC Router Varalakshmi Dandu 1, P. Annapurna Bai 2 Dept. of ECE, St.Mark Educational Society, Affiliated to JNTUA, AP, India 1 Assistant Professor, Dept. of

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

ISSN Vol.05,Issue.09, September-2017, Pages:

ISSN Vol.05,Issue.09, September-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.09, September-2017, Pages:1693-1697 AJJAM PUSHPA 1, C. H. RAMA MOHAN 2 1 PG Scholar, Dept of ECE(DECS), Shirdi Sai Institute of Science and Technology, Anantapuramu,

More information

A Concurrent Testing Method for NoC Switches

A Concurrent Testing Method for NoC Switches A Concurrent Testing Method for NoC Switches Mohammad Hosseinabady, Abbas Banaiyan, Mahdi Nazm Bojnordi, Zainalabedin Navabi Electrical and Computer Engineering, University of Tehran14399 Tehran, Iran

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

Short Papers. System-on-a-Chip Test Scheduling With Precedence Relationships, Preemption, and Power Constraints

Short Papers. System-on-a-Chip Test Scheduling With Precedence Relationships, Preemption, and Power Constraints 1088 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 9, SEPTEMBER 2002 Short Papers System-on-a-Chip Test Scheduling With Precedence Relationships, Preemption,

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

Novel Implementation of Low Power Test Patterns for In Situ Test

Novel Implementation of Low Power Test Patterns for In Situ Test Novel Implementation of Low Power Test Patterns for In Situ Test K.Ramya 1, Y.N.S.Vamsi Mohan 2, S.V.S.M.Madhulika 3 1 M.Tech Student, Department of ECE,Bonam Venkata Chalamayya Institute of Technology

More information

Fully Programmable Memory BIST for Commodity DRAMs

Fully Programmable Memory BIST for Commodity DRAMs Fully Programmable BIST for Commodity DRAMs Ilwoong Kim, Woosik Jeong, Dongho Kang, and Sungho Kang To accomplish a high-speed test on low-speed automatic test equipment (ATE), a new instruction-based

More information

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Anders Larsson, Erik Larsson, Krishnendu Chakrabarty *, Petru Eles, and Zebo Peng Embedded

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism

Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism Alexandre M. Amory 2 Kees Goossens 1 Erik Jan Marinissen 1 Marcelo Lubaszewski 2 Fernando Moraes 3 1 Philips Research Laboratories

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

A Test Integration Methodology for 3D Integrated Circuits

A Test Integration Methodology for 3D Integrated Circuits 2 9th IEEE Asian Test Symposium A Test Integration Methodology for 3D Integrated Circuits Che-Wei Chou and Jin-Fu Li Department of Electrical Engineering National Central University Jhongli, Taiwan 32

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP Rehan Maroofi, 1 V. N. Nitnaware, 2 and Dr. S. S. Limaye 3 1 Department of Electronics, Ramdeobaba Kamla Nehru College of Engg, Nagpur,

More information

RECENT developments in semiconductor technology

RECENT developments in semiconductor technology 1504 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 9, SEPTEMBER 2017 DRAM-Based Error Detection Method to Reduce the Post-Silicon Debug Time for Multiple Identical Cores Hyunggoy Oh, Inhyuk Choi, and Sungho

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

AS THE capacity and density of memory gradually

AS THE capacity and density of memory gradually 844 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017 Hardware-Efficient Built-In Redundancy Analysis for Memory With Various Spares Jooyoung Kim, Woosung Lee,

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Enhancing Superset X-Canceling Method With Relaxed Constraints on Fault Observation Joon-Sung

More information

Index Terms FIFO buffers, in-field test, NOC, permanent fault, transparent test. On Line Faults in FIFO Buffers of NOC Routers 1.

Index Terms FIFO buffers, in-field test, NOC, permanent fault, transparent test. On Line Faults in FIFO Buffers of NOC Routers 1. On Line Faults in FIFO Buffers of NOC Routers 1 BALIREDDY MOUNIKA, 2 JENNE HANUMANTHU, 3 G. NAGESHAM 1 PG Scholar, Dept. of ECE, GATES Institute of Technology, Gooty, Anantapuramu, AP, India 2 Associate

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories Optimal Built-In Self Repair Analyzer for Word-Oriented Memories B.Prabhakaran 1, J.Asokan 2, Dr.G.K.D.PrasannaVenkatesan 3 Post Graduate student- ME in Communication Systems 1, Assistant Professor 2,Vice

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SYSTEMATIC ERROR-CORRECTING CODES IMPLEMENTATION FOR MATCHING OF DATA ENCODED M.Naga Kalyani*, K.Priyanka * PG Student [VLSID]

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

DUE TO innovations in the manufacturing technology of

DUE TO innovations in the manufacturing technology of 274 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Tri-State Coding Using Reconfiguration of Twisted Ring Counter for Test Data Compression

More information

Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology

Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology Lei Zhang, Yinhe Han, Qiang Xu, and Xiaowei Li Key Laboratory of Computer System and Architecture Institute

More information

Wrapper/TAM Co-Optimization and Test Scheduling for SOCs Using Rectangle Bin Packing Considering Diagonal Length of Rectangles

Wrapper/TAM Co-Optimization and Test Scheduling for SOCs Using Rectangle Bin Packing Considering Diagonal Length of Rectangles Wrapper/TAM Co-Optimization and Test Scheduling for SOCs Using Rectangle Bin Packing Considering Diagonal Length of Rectangles Md. Rafiqul Islam, Muhammad Rezaul Karim, Abdullah Al Mahmud, Md. Saiful Islam

More information

the main limitations of the work is that wiring increases with 1. INTRODUCTION

the main limitations of the work is that wiring increases with 1. INTRODUCTION Design of Low Power Speculative Han-Carlson Adder S.Sangeetha II ME - VLSI Design, Akshaya College of Engineering and Technology, Coimbatore sangeethasoctober@gmail.com S.Kamatchi Assistant Professor,

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches

A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches Nima Honarmand, Ali Shahabi and Zain Navabi CAD Laboratory, School of ECE, University of Tehran,

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Optimized Built-In Self-Repair for Multiple Memories

Optimized Built-In Self-Repair for Multiple Memories Optimized Built-In Self-Repair for Multiple Memories Abstract: A new built-in self-repair (BISR) scheme is proposed for multiple embedded memories to find optimum point of the performance of BISR for multiple

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information